CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 点阵 vhdl

搜索资源列表

  1. 12864lcd_vhdl

    0下载:
  2. 12864图形点阵液晶驱动vhdl程序,用ise综合-12864 graphics dot-matrix LCD driver VHDL program, and ideally integrated
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9423744
    • 提供者:赵晗
  1. plane_game

    1下载:
  2. 此为一用VHDL编写的硬件游戏程序,在16*16的点阵上实现了打飞机游戏,可以打飞机,也可以把飞机躲过去。挺有意思的。-this as a preparation using VHDL hardware Games, 16 * 16 in the lattice achieving an aircraft game, it could have aircraft and the aircraft can escape to. Quite interesting.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:48338
    • 提供者:万广鲁
  1. VHDL_8X8led

    0下载:
  2. 8X8点阵的VHDL实现,使用10K20,包括顶层原理图-8X8 lattice of VHDL, use 10K20, including top-level schematic diagram
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:17601
    • 提供者:k
  1. VHDLLED

    0下载:
  2. 用VHDL设计8*8点阵显示阵字~~~~!-8 * 8 character dot-matrix display RUF ~~~~!
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:2608
    • 提供者:sfdfsdf
  1. dianzheng6.2banben

    0下载:
  2. 8*8点阵的实现,循环显示vhdl四个字母-8 * 8 lattice the realization cycle shows vhdl four letters
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:267489
    • 提供者:jerry
  1. LED

    0下载:
  2. 基于alteraCPLD芯片的VHDL点阵滚动显示源代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:109060
    • 提供者:林晋阳
  1. project3

    0下载:
  2. 用VHDL语言实现一个10秒倒计时电路,要求使用8*8点阵显示计时结果-VHDL language used to achieve a 10 seconds countdown circuits require the use of 8* 8 dot matrix display timing results
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:296484
    • 提供者:eefamily
  1. 23

    0下载:
  2. 基于FPGA的液晶显示控制器的设计,FPGA用的是EP2C5,LCD用的是ST7920内核的122*32点阵的LCD,显示中西文字符-FPGA-based LCD display controller design, FPGA is used EP2C5, LCD is used in the ST7920 core of 122* 32 dot matrix LCD, display of Chinese and Western characters
  3. 所属分类:Other systems

    • 发布日期:2017-05-10
    • 文件大小:2321364
    • 提供者:龙霄
  1. led_control

    0下载:
  2. 本实验箱采用的液晶显示屏内置的控制器为SED1520,点阵为122×32,需要两片SED1520组成,由E1,E2分别选通,以控制显示屏的左右两半屏。图形液晶显示模块有两种连接方式,一种为直接访问方式,一种为间接访问方式。本实验采用直接控制方式。 直接控制方式就是将液晶显示模块的接口作为存储器或I/O设备直接挂在计算机总线上。计算机通过地址译码器控制E1和E2的选通;读/写操作信号R/W有地址线A1 控制,命令/数据寄存器选择信号由地址线A0控制。 -The experimenta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1206208
    • 提供者:yangxiao
  1. LED.dot.matrix.display

    0下载:
  2. LED点阵显示器 利用LP-2900实验仪Altera模块上的PLD器件,编写VHDL程序,设计一个扫描控制电路,在H区的8*8型LED点阵上实现点阵的逐点显示,扫描显示的顺序是光点从左上角像素点开始,终止于右下角像素点,然后周而复始地重复运行下去。扫描全部区域大概所需时间为13s。-LED dot matrix display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:80804
    • 提供者:duopk
  1. lcdasegaled

    0下载:
  2. lcd显示 跑马灯显示 七段数码管计时 12232F是一种内置8192个16*16点汉字库和128个16*8点ASCII字符集图形点阵液晶显示器,它主要由行驱动器/ 列驱动器及128×32全点阵液晶显示器组成。可完成图形显示,也可以显示7.5×2个(16×16点阵)汉字.与外部CPU接口采用并行或串行方式控制。-lcd display Seven-Segment LED Display Marquee is a built-in timing 12232F 8192 16* 16 points
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1108387
    • 提供者:wws
  1. keyboard

    0下载:
  2. PS2键盘控制数码管输入数字和点阵显示字母-PS2 keyboard control LED dot matrix display input numbers and letters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2459
    • 提供者:王蕾
  1. Heart-type-dot-matrix-display

    0下载:
  2. 基于FPGA的用VHDL程序编写的点阵显示爱心型-FPGA-based programming with VHDL-based dot-matrix display of love
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:190079
    • 提供者:飞虎队
  1. dotdisplay

    0下载:
  2. 16*16点阵横向移动显示!采用QUARTUS II 9.0编译通过!-16* 16 dot matrix display lateral movement! Compiled by using QUARTUS II 9.0!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2159
    • 提供者:liuguang
  1. 74HC595-lattice-clock

    0下载:
  2. 74HC595点阵时钟:使用74HC595芯片控制的16*16点阵时钟,流动显示时分秒,单片机:STC12C5A60S2-74HC595 lattice clock: using 74HC595 chip control 16* 16 dot matrix clock, mobile display minutes and seconds, the microcontroller: STC12C5A60S2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:31039
    • 提供者:陈科铭
  1. simple-microwave-by-VHDL

    1下载:
  2. 用VHDL实现一个建议微波炉设计 1. 微波炉的火力有大、中、小三档可选。用一个按键实现火力的选择,用点阵显示火力档位,点阵的显示随着按键的按下次数而变化,没有选择时默认的火力为大; 2. 微波加热时间在0-59分59秒之间可选。用4个按键分别设置加热时间各位的长度,用数码管显示加热时间; 3. 设置一个开始键,按下此键后开始加热。加热过程中,用数码管倒计时显示剩余时间; 4. 加热过程中,不能修改火力和加热时间; 5. 加热完成后蜂鸣器至少鸣响两声以提醒使用者加热已结
  3. 所属分类:ELanguage

    • 发布日期:2016-01-02
    • 文件大小:743424
    • 提供者:zeroxinshou
  1. LED-VHDL

    1下载:
  2. 本程序为LED点阵显示的VHDL程序代码,代码注有解释,适合初学者使用-This program is LED dot matrix display VHDL code, the code marked with explanations for beginners
  3. 所属分类:assembly language

    • 发布日期:2015-07-07
    • 文件大小:13312
    • 提供者:xuliyue
  1. dizi

    0下载:
  2. 实现一个根据摁健实现开孔闭孔的电子竖笛,有一个开机音乐且可以在8*8点阵中显示开孔闭孔情况,从低音5到高音5均可实现(To achieve a healthy implementation of electronic press according to the opening of the obturator.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:603136
    • 提供者:萝卜蛋
  1. fpga

    0下载:
  2. 简单的键盘输入,点阵,数码管以及LCD显示(imple dot matrix, digital tube and LCD display)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:14336
    • 提供者:学生时代
  1. U4

    2下载:
  2. 1、必做:设计并实现一个 8×8 点阵扫描控制器,在点阵上稳定显示一个数字或字母, 颜色红色、绿色均可。 2、选做:用 8×8 点阵显示字符,每次显示一个字符,每秒切换一次,显示内容为“B”、 “U”、“ P”、“T”及姓名的第一个字母。如张三显示的内容为“B”、“U”、“ P”、“ T”、 “Z”、“ S”。(1, must do: design and implement a 8 * 8 dot matrix scanning controller, stable display of a
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-04-09
    • 文件大小:443392
    • 提供者:闭家锁的话
« 1 23 4 5 6 »
搜珍网 www.dssz.com