CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 点阵 vhdl

搜索资源列表

  1. fpga+1602

    0下载:
  2. 本程序用VHDL语言编程实现FPGA对点阵液晶1602的驱动
  3. 所属分类:其他行业

    • 发布日期:2008-10-13
    • 文件大小:1676
    • 提供者:pdu
  1. 16multi16

    0下载:
  2. vhdl语言实现的16乘16的点阵显示设计代码,调试通过,可借鉴
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:298934
    • 提供者:yj
  1. LEDhanzigundong_VHDL

    0下载:
  2. 本文主要讨论了使用EDA工具设计汉字滚动显示器的技术问题。文中首先描述了基于现场可编程门阵列(FPGA)的硬件电路;然后研究了在8×8LED发光二极管点阵上显示滚动汉字的原理,并给出了基于ALTERA的参数化模型库LPM描述其功能的VHDL语言程序设计;最后对使用EDA工具软件加工被显示数据文件的方法进行了讨论。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:186110
    • 提供者:wang
  1. control_dot_display

    0下载:
  2. 点阵显示的vhdl程序,是一个学员实验用的程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:313847
    • 提供者:文工且
  1. quartus

    0下载:
  2. 基于vhdl语言描述的16*32点阵静态显示程序,分为单板显示和多板显示。-Static vhdl language to describe 16* 32 dot matrix display program, divided into veneer display and multi-panel display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:2115274
    • 提供者:王新
  1. 16^16dianzhen

    0下载:
  2. vhdl 16*16点阵板显示 行扫描 低电平选通-vhdl 16* 16 dot matrix board low strobe line scan
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:384861
    • 提供者:比按
  1. dianzhenhanzixianshi

    0下载:
  2. 点阵汉字显示的VHDL原程序.综合实验课程的程序,完全可以用的 希望大家支持啊-Dot-matrix characters shown in the original VHDL program. Comprehensive experimental program procedures, can be used to hope you will support the ah
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:81792
    • 提供者:朱武增
  1. music

    0下载:
  2. 用VHDL 语言设计实现一个10 秒倒计时电路,要求使用8×8 点阵显示计时结果。能在计时到0后开始播放乐曲,同时乐曲可以自由转换。-VHDL Language Design and Implementation with a 10 seconds countdown circuits require the use of 8 × 8 dot matrix display time results. To 0 in time to start playing after the music, a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:922737
    • 提供者:rainbowuva
  1. led_zfsj

    0下载:
  2. 现场可编程门阵列( FPGA) 是一种可编程逻辑器件, 它具有丰富的I/O 口及内部资源, 编程和修改极为方便, 并且易于扩展和维护, 简化电子电路的设计。本系统采用Altera 公司的FLEX10K作为核心器件, 结合VHDL程序, 实现了对LED 点阵显示字符的控制。-Field programmable gate array (FPGA) is a programmable logic device, which has a wealth of I/O port and internal
  3. 所属分类:Communication

    • 发布日期:2017-03-28
    • 文件大小:32812
    • 提供者:x
  1. lcd12864

    0下载:
  2. 主要关于12864 点阵lcd液晶显示的vhdl源代码,-Mainly on the 12864 lattice lcd liquid crystal display vhdl source code,
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-04
    • 文件大小:3969
    • 提供者:神读
  1. VHDL5

    0下载:
  2. 一个使用VHDL进行点阵移动的试验,让你明白点阵编程的原理。-Use VHDL to move a dot-matrix test, so that you understand the principle of dot-matrix program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1056
    • 提供者:wyb
  1. 123

    0下载:
  2. 基于FPGA和VHDL的LED点阵汉字滚动显示设计-LED1602
  3. 所属分类:Document

    • 发布日期:2017-04-17
    • 文件大小:339267
    • 提供者:刘德华
  1. lgreenm

    0下载:
  2. 由VHDL撰写的红绿灯小绿人,由3*5点阵显示动画,含有许多特殊功能。-VHDL written from the traffic light little green men, from 3* 5 dot matrix display animation, with many special features.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1928602
    • 提供者:Risger
  1. VHDdisplay

    0下载:
  2. VHDL汉字滚动历程 实现一个王字在8X8点阵上滚动显示-VHDL characters rolling process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:27108
    • 提供者:刘磊
  1. dotmatrix

    0下载:
  2. MAXplus 2 课程设计 点阵的动态显示-A programme of VHDL developed in MAXplus 2 to display one s name in a shifting way.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1131
    • 提供者:刘进
  1. eluosifangkuai

    0下载:
  2. 俄罗斯方块vhdl实现源码 硬件altera的FPGA 键盘 16*16点阵 数码管-Tetris source vhdl implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7503984
    • 提供者:束佳云
  1. disaplay_love

    0下载:
  2. 点阵显示桃心,利用VHDL语言编写,在Quartus 8.1环境下测试通过,可以建立波形文件做仿真实验-display love ,very funny
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:189971
    • 提供者:youungsky
  1. dianzheng333

    0下载:
  2. 基于VHDL的点阵显示。工程文件已经给出。动态显示-dianzhengxianshi VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:195316
    • 提供者:hu
  1. ct

    1下载:
  2. 用vhdl做的一个简单的太空大战游戏,在hdle实验板上可以运行,在16*16点阵可以显示飞行器移动,障碍物下落效果-Vhdl to do with a simple space war game, the board can run in the hdle experiment, in 16* 16 dot matrix to display the vehicle move, obstacles fall effect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:2041069
    • 提供者:张春涛
  1. light

    0下载:
  2. 用VHDL语言设计的跑马灯,用于8*8的点阵上,图形可以通过开关自定义。-Marquee design with VHDL, for 8* 8 dot matrix, and graphics can be customized through the switch.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:313498
    • 提供者:李刚
« 1 2 34 5 6 »
搜珍网 www.dssz.com