CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 点阵 vhdl

搜索资源列表

  1. example8

    0下载:
  2. 点阵程序,有助于vhdl语言的初级学习!可以下载看看.-Dot-matrix program that helps primary learning VHDL language! Can be downloaded.
  3. 所属分类:Other systems

    • 发布日期:2017-12-02
    • 文件大小:27170
    • 提供者:fei
  1. ledarray

    0下载:
  2. 用vhdl语言,在QuartusII下,点阵显示欢迎使用系统-Using vhdl in QuartusII, the dot matrix display welcome to use the system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2002
    • 提供者:刘海
  1. dianzhen

    0下载:
  2. 用VHDL语言编写的点阵显示汉字正,已经调试验证过的程序。-VHDL language matrix display Chinese characters, positive, has been testing validated procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:287519
    • 提供者:曾小曾
  1. MIAODZQ

    0下载:
  2. vhdl电子琴实现 包括点阵显示音符 输出简单的·歌曲-electronic keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:87850
    • 提供者:缪照浜
  1. microwave

    1下载:
  2. VHDL语言实现微波炉的程序,能够实现倒计时,点阵显示剩余时间,火力大小等,到时间的蜂鸣器报警-VHDL language program Microwave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-02
    • 文件大小:1156096
    • 提供者:陈海勇
  1. dot

    0下载:
  2. 点阵显示汉字正,VHDL语言编写的源代码,适合初学者学习参考-Dot matrix display Chinese characters are, VHDL language source code, suitable for beginners reference study
  3. 所属分类:Other systems

    • 发布日期:2017-04-24
    • 文件大小:310336
    • 提供者:王文玉
  1. vhd123

    0下载:
  2. 基于VHDL的实现赛车点阵,赛道和赛车,能够左右移动-VHDL-based matrix to achieve racing, track and racing, can move around
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1070783
    • 提供者:雷涛涛
  1. a

    0下载:
  2. 简易电子琴演奏器的VHDL实现 本实验实现了简易的电子琴演奏,包括自动和手动演奏。 输入为BTN0~BTN6,代表1~7共7个音符。音高可切换低中高音,用两个拨码开关控制:“00”为低音,“10”或“01”为中音,“11”为高音。一个拨码开关切换收动/自动。一个开关控制存储(播放存储)/不存储。一个按键clr复位。 输出为8*8点阵、两个数码管(显示音高和字符)、蜂鸣器。 具体功能: 当切换至手动模式时,根据手动按键播放音乐并显示。此时若存储开关置1,当前播放音符被存储,采样
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5189133
    • 提供者:carmack
  1. project

    0下载:
  2. VHDL编写8*8LED点阵。实现显示,滚动字符。包含硬件部分PCB图。-VHDL, 8* 8LED lattice. Achieve the display, scrolling characters. Includes hardware part PCB.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1381331
    • 提供者:龙睿
  1. kechengsheji

    0下载:
  2. 拨码开关控制点阵显示十进制数 内含VHDL PDF等文件-DIP switches control the dot matrix display contains a decimal number, such as VHDL PDF file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1497165
    • 提供者:微笑
  1. dianzhen

    0下载:
  2. 8位渐变色点阵的VHDL实现,在单片机中进行过仿真-8 gradient lattice of VHDL, simulation conducted in SCM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:326672
    • 提供者:乐乐乐
  1. FPGA_dots

    0下载:
  2. 关于VHDL和verilog的点阵显示程序,很好的例程,值得参考。-Dot-matrix display on VHDL and verilog program, a very good routine, a good reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:101047
    • 提供者:毛超
  1. Lattice

    0下载:
  2. 用VHDL语言实现点阵显示,并自带初步的显示数字和字母库,稍加修改即可使用-Dot matrix display with VHDL language。And comes with an initial display of numbers and letters library, you can use a slightly modified
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:890
    • 提供者:代成
  1. lock

    0下载:
  2. 基于VHDL的智能密码锁程序,能用EMP1270T144C5单片机下载,能够输入4—6位十进制密码,有重置密码、报警、点阵显示、数码管显示功能。quartus II 9.0编译成功。压缩包里有word文件的源码,打不开工程可以看看。代码较多但语句都很简单,有比较详细的注释。-VHDL-based smart lock program, can download EMP1270T144C5 microcontroller can enter 4-6 decimal code, there are
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-07
    • 文件大小:1046410
    • 提供者:Tucky
  1. piano_fina1

    0下载:
  2. 基于VHDL的简易电子琴游戏,可实现发声,点阵显示,倒数计时,计分等功能-VHDL simple electronic organ based games, can realize the voice, dot matrix display, countdown, scoring function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1035743
    • 提供者:zhangxiangrui
  1. dianzhenhanzi

    0下载:
  2. 用VHDL语言实现汉字点阵的动态循环显示,同时有视频可以教大家使用MAXPLUSII。-Implemented in VHDL language character dot-matrix display dynamic cycle, while the video can teach you to use MAXPLUSII.
  3. 所属分类:Other systems

    • 发布日期:2017-05-21
    • 文件大小:6401669
    • 提供者:肖娜
  1. MATRIX

    0下载:
  2. 8*8点阵动态扫描,实现字符的动态显示。基于VHDL语言,原理和源程序文件。-based on the vhdl ,a 8* 8 matrix which can display characters dynamically is designed and completed.
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:739
    • 提供者:贾郑和
  1. zhitouzi

    0下载:
  2. 原创。掷骰子游戏,VHDL,quartus,北京邮电大学数电实验,实现随机掷骰子游戏,在数码管显示点数,点阵显示输赢,有开机动画以及开机音乐,可实现多人游戏等-games, VHDL, quartus,experiments of BUPT, pure originality,random game, in the digital display dots, dot matrix display winning or losing, there are boot animation and bo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:11800569
    • 提供者:bamboo
  1. the_last

    0下载:
  2. VHDL语言实现两个人掷骰子游戏,最多6次,大者胜则结束游戏并在点阵上显示,一直平手则一直进行直到达到6次。-Achieving the dice game between two people by using VHDL language.The maximum number of times is 6.The game will over when there is a biger one in one time,otherwise,the game will continue until
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8778520
    • 提供者:Lancy Green
  1. Spot-scanning

    0下载:
  2. 双色点阵扫描,VHDL语言,quartus仿真,发光二极管阵列扫描彩色显示装置双色点阵发光二极管显示-Color dot matrix scanning, VHDL language, quartus simulation, the light emitting diode array scanning color dot matrix color display device emitting diode display
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:4315
    • 提供者:gary
« 1 2 3 4 56 »
搜珍网 www.dssz.com