CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 状态机

搜索资源列表

  1. snake

    0下载:
  2. 用VHDL语言编写的贪吃蛇小游戏。利用有限状态机原理,对不同情况判断得到下一步操作参数。程序下载能够运行-VHDL language with the Snake game. Finite state machine theory, judging by the different operating parameters for the next step. Download to run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1077915
    • 提供者:river
  1. table-tennis

    0下载:
  2. vrilog语言编写,使用状态机模拟乒乓球运动-vrilog language, using the state machine simulation table tennis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1611103
    • 提供者:li
  1. key

    0下载:
  2. 此键盘利用状态机写成的,先检测是否有键按下,然后利用状态转换确定有键按下,在执行相应的程序-This keyboard written using the state machine, first check if a key is then used to determine a key is the state transition in the implementation of appropriate procedures
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1100
    • 提供者:yhh
  1. FPGA_statu-machine

    0下载:
  2. FPGA 编程中常用的状态机编写风格和代码。开发环境为ISE10.1.-FPGA programming state machines commonly used in writing style and code.Development environment for ISE10.1.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2136
    • 提供者:lijin
  1. state-machine-code

    0下载:
  2. 用Altera Quartus II 的VHDL语言完成的状态机控制步进电机的程序员代码-The use of Altera Quartus II VHDL language to complete the state machine code programmer stepper motor control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:274479
    • 提供者:nanana
  1. ZAI_TAI_JI

    0下载:
  2. 基于状态机下的编程方法,有限的状态机实现无限的编程模式-State machine based programming under
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:832
    • 提供者:ljun
  1. Keys-away-shaking

    0下载:
  2. 采用状态机的理念进行的按键消抖程序,可以进行很好移植.-The state machine button can shake procedures, extinction is very good transplantation
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:2449
    • 提供者:jingjing
  1. dfghg

    0下载:
  2. 带同步复位的状态机!可用于了解状态机的编程原理和格式,还有同步复位的实现!-With synchronous reset the state machine! State machine can be used to understand the principles of programming and formats, as well as the realization of synchronous reset!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:642
    • 提供者:funny
  1. key

    0下载:
  2. 吉大短学期CPLD实习程序 利用状态机合理的完成了按键去抖的工作,利用EP1C240C8搭建起来的硬件电路能够按照设计者的思路正常工作按照需要的完成了去抖的任务-Chittagong short term internship program CPLD reasonable use of state machine to complete the work of the keys to the shaking, the use of hardware circuits EP1C240C8 b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:65885
    • 提供者:吴琦轩
  1. USGate

    0下载:
  2. 超声闸门处理,以及脉冲状态机的管理维护代码-Ultrasound gate processing, and pulse code state machine management and maintenance
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-04-05
    • 文件大小:5693
    • 提供者:mackluo
  1. key_modbus

    0下载:
  2. 基于MODBUS协议的单片机通信程序,亮点是该程序按键扫描程序利用状态机扫描。-Microcontroller based on MODBUS protocol communication program, the program key highlight of the scanner using the state machine scanning.
  3. 所属分类:Com Port

    • 发布日期:2017-04-07
    • 文件大小:46939
    • 提供者:
  1. state-machine-

    0下载:
  2. VHDL语言状态机的源程序,有助于学习VHDL语言的状态机-VHDL state machine of the source language to help learn the language of the state machine VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:3777
    • 提供者:
  1. moore

    0下载:
  2. 摩尔有限状态机的例子很好的,实验读写控制-an example of FSM of moore
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:181854
    • 提供者:王婷
  1. led_flow

    0下载:
  2. 利用状态机来实现8为的跑马灯,时间间隔为1S,输入的时钟为50MHz。-Use of state machine 8 in order to Marquee, the time interval for the 1S, the input clock is 50MHz.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:569
    • 提供者:仝信
  1. eda

    0下载:
  2. 这是我们平时做的EDA实验,包括加法器,乘法器,以及状态机等-This is what we usually do the EDA experiments, including adders, multipliers, and the state machine, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:101276
    • 提供者:
  1. FPGA-heater

    0下载:
  2. 太阳能热水器设置,包含的状态机的构造,功能模块设置等等。-Solar water heater settings, including the state machine structure, function module settings and so on.
  3. 所属分类:Project Design

    • 发布日期:2017-04-05
    • 文件大小:132172
    • 提供者:liuyixian
  1. verilogshiyansoure37

    0下载:
  2. verilog实验的基本程序,包括状态机、数码管、流水灯、蜂鸣器、点阵、键盘等等,超详细的程序、适合初学者-verilog basic experimental procedures, including the state machine, digital control, water lights, buzzers, dot matrix, keyboard, etc., super detailed procedures, suitable for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:48450
    • 提供者:郑海
  1. Verilog-state-machine

    0下载:
  2. 状态机采用 VerilogHDL 语言编码,建议分为三个 always 段,本文档就是详述其原因-VerilogHDL language code using the state machine, the proposed section is divided into three always
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:616327
    • 提供者:老虎
  1. VHDL--light

    0下载:
  2. 基于VHDL状态机设计的智能交通控灯.该灯控制逻辑可实现3种颜色灯的交替点亮、时间的倒计时,指挥车辆和行人安全通行。-intelligent traffic light,VHDL,state machine ,CPLD
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:246654
    • 提供者:镶牙另
  1. 430_modbus

    0下载:
  2. 用430实现modbus协议转换,其中使用状态机功能。-modbus change
  3. 所属分类:Communication

    • 发布日期:2017-04-08
    • 文件大小:4506
    • 提供者:piziwang
« 1 2 ... 35 36 37 38 39 4041 42 43 44 45 ... 50 »
搜珍网 www.dssz.com