CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 状态机

搜索资源列表

  1. CummingsSNUG1998SJ_FSM_rev1_1

    0下载:
  2. IEEE获奖论文,状态机的编写基础,很详细很有重点-FSM foundation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:124190
    • 提供者:王翔
  1. key

    0下载:
  2. 一個采用状态机算法.的鍵盤程序,方便大家學習-Using a state machine algorithm. Keyboard program
  3. 所属分类:Other systems

    • 发布日期:2017-04-13
    • 文件大小:2213
    • 提供者:jackie
  1. AD574

    0下载:
  2. AD574控制器的VHDL程序,用状态机设计的AD574器件的控制器FPGA硬件设计,可以代替单片机的功能-AD574 controller VHDL program, the state machine design AD574 device controller FPGA hardware design, can replace the function of the microcontroller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:911
    • 提供者:cxl
  1. KEYBOARD

    0下载:
  2. 基于状态机的按键判断子程序,可以很方便的在其他地方调用,-Key judgments based on state machine subroutine, you can easily call in other places,
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:29297
    • 提供者:欧师傅
  1. sequence_dectect

    0下载:
  2. sequence_dect 实现6个状态,即6种选择的状态机。状态机的一个极度确切的描述是它是一个有向图形,由一组节点和一组相应的转移函数组成。-sequence_dectect to six states, namely, six options the state machine. State machine of an extremely precise descr iption is that it is a directed graph, by a group of nodes and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:677
    • 提供者:吴海勇
  1. smcexamplelib

    0下载:
  2. 有限状态机源码,官方下载的代码,希望对大家有作用-scm source
  3. 所属分类:Java Develop

    • 发布日期:2017-05-18
    • 文件大小:5106231
    • 提供者:liyuanchao
  1. i2c

    0下载:
  2. 基于fpga的i2c接口模块设计,属于商业版本,利用状态机完成设计-The i2c interface module based fpga designs, a commercial version, using the state machine to complete the design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:785638
    • 提供者:kaikai
  1. ad574

    0下载:
  2. 利用状态机实现ad574的驱动程序,思路还是比较清晰-Using the state machine to achieve ad574 driver, thinking it is quite clear. . . .
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:624
    • 提供者:surprise
  1. State-machine-programming

    0下载:
  2. 状态机程序设计,学习协议的制订;掌握状态机程序设计方法;在232通信接口的基础上如何实现链路层功能,实现一个简化的SDLC协议。-State machine programming, learning agreement developed master state machine programming method in 232 communication interface, based on how link layer functions, to achieve a simplif
  3. 所属分类:software engineering

    • 发布日期:2017-04-05
    • 文件大小:219894
    • 提供者:石魁
  1. emebed-state-machine

    0下载:
  2. 部分嵌入式状态机的相关知识,4本相关讲解-Part of the knowledge embedded state machine, four related explanations
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-01
    • 文件大小:545549
    • 提供者:ziyang
  1. ProgrammingstatemachineforEmbedded

    0下载:
  2. 国内介绍嵌入式状态机编程的书籍,欢迎下载-China introduced an embedded state machine programming books are welcome to download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-13
    • 文件大小:20739687
    • 提供者:ziyang
  1. how-to-use-state-machine

    0下载:
  2. 三段式状态机的用法,对于想学习verilog及VHDL编程的人来说是必看的内容-The use of three-state machine, for those who want to learn verilog and VHDL programming is a must-see content people
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:266621
    • 提供者:ranshaoqiang
  1. chuankou

    0下载:
  2. 串口时序,程序里面的注释内容为同等功能的状态机的写法-Serial port timing, the program content of the comments inside the functional equivalent of a state machine is written
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:378726
    • 提供者:陈佳
  1. 43

    0下载:
  2. AVR单片机状态机键盘算法4乘3键盘,可以随便移植-AVR microcontroller algorithm state machine keyboard 4 x 3 keypad, you can easily transplant
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:844
    • 提供者:鲍满源
  1. ICCAVR_4_buttom_FSM_protues

    0下载:
  2. avr单片机中利用状态机写的4个按键的程序和仿真。开发工具是ICCAVR-avr microcontroller using the state machine to write the four key processes and simulation.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-06
    • 文件大小:131882
    • 提供者:wei
  1. verilogFMS

    0下载:
  2. 状态机的源代码,各种给力,源代码相当的清晰-State machine source code, all the force, the source code is quite clear
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-06
    • 文件大小:390533
    • 提供者:zhuzhu
  1. mi

    0下载:
  2. I2C的状态机写法,改了好多次了,辛苦啊~~VHDL的-I2C state machine wording, changed many times
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1366
    • 提供者:陈佳
  1. FuSM

    0下载:
  2. 有限状态机的一个变种,建立在模糊逻辑的概念之上,一般定义为“被扩展来处理部分真相概念的传统逻辑(bool 逻辑)的超集”。应该注意,虽然FuSM建立在模糊逻辑概念之上,但不代表是实实在在的模糊系统-A variant of finite state machine, based on the fuzzy logic based on the concept, generally defined as " to be extended to handle some of the truth
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:18365
    • 提供者:zeng
  1. STA-

    0下载:
  2. 状态机图通过对类对象的生存周期建立模型来描述对象随时间变化的动态行为,也可以用来描述用例、协作和方法的动态行为,它是展示状态与状态转换的图-State machine diagram of the class object through the life cycle of the object model to describe the dynamic behavior over time, can also be used to describe the use case, collabor
  3. 所属分类:Other systems

    • 发布日期:2017-03-22
    • 文件大小:444403
    • 提供者:zeng
  1. sta1

    0下载:
  2. 有限状态机是根据当前状态以及触发条件进行状态转换的一种机制,包含一组状态集(state)、一个起始状态(start state)-Finite state machine is based on the current status and conditions trigger a state transition mechanism, contains a set of states (state), an initial state (start state)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:428826
    • 提供者:zeng
« 1 2 ... 38 39 40 41 42 4344 45 46 47 48 49 50 »
搜珍网 www.dssz.com