CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 电子秒表

搜索资源列表

  1. MOD1

    0下载:
  2. 51单片机电子日历 电子日历,有时间显示、闹铃、日期、秒表及键盘设置功能 功能键A: 设置位数字+1 闹钟模式下为闹钟开关 秒表模式下为记时开关 功能键B: 设置位数字-1 闹钟模式下为闹钟开关 功能键C:设置模式及设置位选择 秒表模式下为清零键 功能键D:在四种工作模式下切换 设置闹钟开关
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:4791
    • 提供者:晓风
  1. 51_12864_proteus

    0下载:
  2. 采用了51单片机和128x64的LCD--12864的电子时钟,具有走时、调时、调星期,经过简单的扩展后还具有闹铃、农历、秒表功能。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:85063
    • 提供者:欧进文
  1. clock

    0下载:
  2. 用vierilog语言描写的电子时钟源码,可以实现计时、显示年月日、秒表等功能。
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:8737
    • 提供者:stn
  1. 51MCU

    0下载:
  2. 51单片机电子日历程序代码 有时间显示、闹铃、日期、秒表及键盘设置功能
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-18
    • 文件大小:4537
    • 提供者:陈星
  1. time1

    0下载:
  2. 电子时钟,可以通过M键转换功能,其中包括日期,时间,秒表等功能。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1711
    • 提供者:pengming
  1. timeclock

    0下载:
  2. 数字钟在日常生活中最常见,应用也最广泛。本文主要就是设计一款数字电子时钟钟,以AT89C51单片机为核心,四位一体共阴数码管显示模块、轻触开关做功能设计等功能模块。本数字电子时钟采用24小时制方式显示时间和星期,及年月日显示等功能,同时还具有闹钟,定时,秒表的功能。 文章的核心主要从硬件设计和软件编程两个大的方面。硬件电路设计主要包括单片机,按键,数码管显示,电源等几部分组成。软件用汇编语言来实现,主要包括主程序、显示子程序、时钟子程序、定时子程序、秒表子程序等软件模块。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:4072
    • 提供者:rockyta
  1. dianzishezhong

    0下载:
  2. 电子时钟 EDA 基本要求: 24小时计数显示; 具有校时功能(时,分) 附加要求 1、秒表功能(复位,计时
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2916
    • 提供者:Jaman
  1. Clockly

    0下载:
  2. 非Applet的java电子时钟与秒表小程序 包括多线程控制与界面切换-the non - java applet electronic clock with a stopwatch small programs including multithreaded control and interface switching
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:1939
    • 提供者:刘岩
  1. miaob

    0下载:
  2. 电子数字秒表(1. 开始时,显示“00”,第1次按下SP1后就开始计时。 (2. 第2次按SP1后,计时停止。 (3. 第3次按SP1后,计时归零 -Electronic digital stopwatch (1. Beginning to show " 00" , 1st time by pressing start after SP1. (2. The first two sub-prime mortgage SP1, the time to stop. (3.
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:72430
    • 提供者:anyingbo
  1. VHDLforclock

    0下载:
  2. 用VHDL编写电子时钟芯片,具有整点报时,闹钟,秒表功能,调时可按十分与个位分别调时-The preparation of electronic clock chip with VHDL, with the whole point timekeeping, alarm clock, stopwatch function, can be transferred when the transfer is with a bit difference when the
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-17
    • 文件大小:4539324
    • 提供者:林寒
  1. 430test

    0下载:
  2. msp430实验例程 师兄整理的程序: 232串口接受PC键盘输入的数值送显 AD单通道多次转换 传感器数据采集送显 电子时钟 定时器实验——32K晶振和定时器中断实现精确的1秒钟LED闪烁 秒表控制的流水灯 蜂鸣器报警程序 Flash对信息段写入实验 -msp430 example demonstrations
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:40730
    • 提供者:王易
  1. shilunaozhong

    0下载:
  2. 这个电子闹钟最多可设定10个闹铃时间,还具有秒表功能.汇编格式,详细注释。编程环境:WAVE6000 -This electronic alarm clock can be set up alarm time 10, also has a stopwatch function. Compilation format, detailed notes. Programming environment: WAVE6000
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:3530
    • 提供者:liyanya
  1. main

    0下载:
  2. 电子指南针,电子钟,具备播报日期、时间、位置,秒表,闹钟等功能。-Electronic compass
  3. 所属分类:Database system

    • 发布日期:2017-04-13
    • 文件大小:2101
    • 提供者:陈文
  1. 10001digitalclock

    0下载:
  2. 实现秒表时间日期显示调整功能及整点定时功能的电子时钟-Realize the time and date display Stopwatch function and adjust the whole point of the electronic clock timer
  3. 所属分类:CSharp

    • 发布日期:2017-04-17
    • 文件大小:19793
    • 提供者:liu
  1. 53

    0下载:
  2. 电子时钟的实现,实现24小时制的秒表计数-Implementation of electronic clock, stopwatch system to achieve 24-hour count
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:2128
    • 提供者:张龙龙
  1. mcu

    0下载:
  2. 51单片机电子时钟 显示采用1602 可以显示年月日星期 有秒表功能定时功能-51 SCM electronic clock display with 1602 years can be displayed on week stopwatch timer functions
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:25617
    • 提供者:秦启洋
  1. clock

    0下载:
  2. 电子钟表 带秒表计时功能 可获取当前日期/时间-Electronic clock with a stopwatch function to get the current date/time
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1834
    • 提供者:wjs
  1. miaobiao

    0下载:
  2. 设计一个电子时钟,用计算机的输入输出设备完成电子时钟的基本功能,并在此基础上,自行完善和优化系统功能。 基本功能具体要求如下: (1)CRT显示器按模式“时:分:秒”显示秒表计时的初始状态(缺省为全0),按下“设置键”可设置初始值。 (2)当第一次按下“计时/暂停键”,秒表从初始开始计时,CRT显示器上实时显示计时值。 (3)当第二次按下“计时/暂停键”,秒表停止计时,CRT显示器上显示最终计时值。 (4)再次按下“计时/暂停键”时,秒表继续计时。 (5)当按下“结束键”
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:2649
    • 提供者:shuixin
  1. watch

    0下载:
  2. 本文件为电子设计而开发的多功能数字钟VHDL语言完整源代码 --该数字钟实现的功能有时间,秒表,闹钟,年月日的显示设置等 -This document is multi-functional electronic design and development of a complete VHDL, digital clock source code- the digital clock function can be achieved time, stopwatch, alarm clo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:526451
    • 提供者:钟祥
  1. INT-TIMER

    0下载:
  2. 单片机电子时钟,音乐闹铃,日期,倒计时,秒表-SCM electronic clock, music alarm, date, countdown, stopwatch
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:4928
    • 提供者:任俊臣
« 1 2 3 4 5 6 78 9 10 »
搜珍网 www.dssz.com