CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 电梯控制器 VHDL

搜索资源列表

  1. FPGA_27eg

    1下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. liftor

    0下载:
  2. 基于VHDL语言的实用电梯控制器的设计 源程序经Xilinx公司的Foundation软件仿真 -based on VHDL practical elevator controller design source by Xilinx's Foun dation Simulation Software
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2119
    • 提供者:杨洋
  1. te3560

    0下载:
  2. 基于VHDL语言的实用电梯控制器的设计 -based on VHDL practical elevator controller design based on VHDL practical Elevator Controller VHDL design based on the practical design of the elevator controller based on VHDL practical elevator controller design
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:94113
    • 提供者:your name
  1. vhd

    0下载:
  2. 一个VHDL电梯控制器的程序 1、 每层电梯的入口处设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。 2、 设有电梯所处位置指示装置及电梯运行模式(上升或下降)指示装置。 3、 电梯每秒升降一层。 4、 电梯到达有停站请求的楼层后,经过1s电梯打开,开门只是灯亮,开门4s后,电梯门关闭(关门指示灯灭),电梯继续运行,直至执行完请求信号后停在当前楼层。 5、 能记忆电梯内外的所以请求信号,并按照电梯运行规则依次响
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:124986
    • 提供者:123456
  1. lift

    0下载:
  2. 4层电梯控制器(单向层层停控制方式)VHDL源程序(绝对通,符详细注释)
  3. 所属分类:图形图象

    • 发布日期:2008-10-13
    • 文件大小:8801
    • 提供者:qlz
  1. EDAdeisgn(2)

    0下载:
  2. 该文件中是关于一些VHDL许多编程实例以及源码分析,希望对VHDL爱好者有用。卷2实例包括:多路彩灯控制器的设计与分析、智力抢器的设计与分析、微波炉控制器、数据采集控制系统、电梯控制器的设计与分析
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4951895
    • 提供者:shengm1
  1. dianti

    0下载:
  2. 该程序实现的功能是:基于VHDL语言的电梯控制器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1723
    • 提供者:苗黄
  1. diantikongzhiqi

    0下载:
  2. 本设计是本人的课程设计,基于VHDL的电梯控制器的设计,能够实现12层电梯控制,上下开关,关门延时,提前关门,状态显示,通过波形仿真进行观看结果
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:69203
    • 提供者:polly
  1. lift

    0下载:
  2. 采用vhdl语言的电梯控制器源代码,能够实现报警,等待,并采用了标准的最优电梯运动路线。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2382
    • 提供者:wriuwru
  1. lift.rar

    0下载:
  2. (1)用VHDL实现四层电梯运行控制器。 (2)电梯运行锁用一按钮代替(开锁上电),低电平可以运行,高电平不能运行。 (3)每层电梯入口处设有上行、下行请求按钮,电梯内设有乘客到达层次的停站要求开关,高电平有效。 (4)有电梯所处楼层指示灯和电梯上行、下行状态指示灯。 (5)电梯到达某一层时,该层指示灯亮,并一直保持到电梯到达另一层为止。电梯上行或下行时,相应状态指示灯亮。 (6)电梯接收到停站请求后,每层运行2秒,到达停站层,停留2秒后门自动打开,开门指示灯亮,开门6秒后电梯自动关门
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:289482
    • 提供者:管皮皮
  1. elevatorverilog

    0下载:
  2. VHDL 3层电梯控制器的设计,基于Quartus II平台-VHDL 3 story elevator controller design, based on the platform of Quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:75527
    • 提供者:逆天之刃
  1. dianti

    0下载:
  2. vhdl代码: 电梯控制器程序设计与仿真!初学fpga者可以参考参考!!比较简单-VHDL code: Elevator controller design and simulation program! FPGA beginner who can refer to reference! ! Relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:162739
    • 提供者:daxiadian2
  1. diantikongzhi

    0下载:
  2. 电梯控制器程序设计与仿真验证,VHDL语言-Elevator controller design and simulation verification, VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:162511
    • 提供者:DAVID
  1. dianti

    0下载:
  2. VHDL程序电梯控制器。可完成6层楼的电梯控制。-Elevator controller VHDL procedures. To be completed by 6-story elevator control.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1626
    • 提供者:minghao
  1. dianti.vhd

    0下载:
  2. 电梯控制器的VHDL源程序 很有代表性 经简单修改后可用于n层控制 -Lift Controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5085
    • 提供者:jimmy
  1. gaocengdianti

    0下载:
  2. 基于VHDL语言,实现高层电梯控制器设计程序-Based on the VHDL language, to achieve high-rise elevator controller design process
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-05
    • 文件大小:3481
    • 提供者:小涛
  1. dianti

    0下载:
  2. VHDL语言编写的六层电梯控制器,设计了点的动作,超载和报警系统-VHDL language of the six elevator controller design point of action, overload and alarm systems
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:2149
    • 提供者:shijianke
  1. dianti

    0下载:
  2. VHDL——三层电梯控制器设计(控制电梯按顾客的要求自动上下运行)-VHDL--Three layers of the design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2927
    • 提供者:雇主
  1. lift

    0下载:
  2. 用VHDL编写的全功能四层电梯控制器-Prepared with a fully functional VHDL four elevator controller. . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:17402
    • 提供者:aiyun
  1. VHDL-ELEVATOR-CONTORLLER-DESIGN

    0下载:
  2. VHDL电梯控制器程序设计与仿真,内含原理图和VHDL源码,有助于学习VHFL-VHDL u7535 u68AF u63A7 u5236 u5668 u7A0B u5E8F u8BBE u8BA1 u4E0E u4EFF u771F
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:164864
    • 提供者:刘冲
« 1 2 34 »
搜珍网 www.dssz.com