CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 电梯控制

搜索资源列表

  1. lift

    0下载:
  2. C#模拟电梯控制,格式还不错,值得学习一下。-C# simulated elevator control, the format is also good, worth learning about.
  3. 所属分类:CSharp

    • 发布日期:2017-03-30
    • 文件大小:176837
    • 提供者:陈文奇
  1. eda2

    0下载:
  2. 工具MAX+PLUS2,用VHDL语言实现一个三层电梯的控制。-Tool MAX+ PLUS2, with the VHDL language to achieve a three-story elevator control.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-04
    • 文件大小:1133229
    • 提供者:shang
  1. wodewenjian

    0下载:
  2. 基于FPGA的电梯控制系统的设计 将电梯的运行状态划分为开门,一层,二层,三层,四层五个状态,设一层开门为电梯的初始状态,up1,up2,up3分别作为一层,二层,三层的上升请求,四层没有上升请求;down2,down3,down4分别作为二层,三层,四层的下降请求,同理一层是没有下降请求的;s1,s2,s3,s4分别作为一层,二层,三层,四层的停站请求;x1,x2,x3,x4分别作为一层,二层,三层,四层的停站请求显示;door作为门的状态,“0”表示关,“1”表示开;mode作为电梯的运
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:993
    • 提供者:吴海霞
  1. article

    0下载:
  2. 单片机实现电梯控制,本系统采用两片单片机(89C52)作为内外招信号的检测和控制核心。-Microcontroller elevator control, the system uses two microcontroller (89C52) as a move inside and outside the core of the signal detection and control.
  3. 所属分类:Document

    • 发布日期:2017-04-06
    • 文件大小:300705
    • 提供者:刘睿阳
  1. lift

    0下载:
  2. VHDL语言设计的16层电梯控制,带LED显示功能,两种时钟周期-vhdl lift
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:2345
    • 提供者:xueze520
  1. lt

    0下载:
  2. 电梯控制包括通讯协议、键盘扫描和液晶显示和变频器实时控制程序-Elevator control including communication protocols, the keyboard scanning and real-time liquid crystal display and inverter control procedures
  3. 所属分类:assembly language

    • 发布日期:2017-03-31
    • 文件大小:180019
    • 提供者:litang
  1. RationalandRose

    0下载:
  2. 控制马达向上升起并等待到达事件,当电梯到达用户请求的楼层后,电梯控制门打开,在动作序列中,电梯控制器与门对象和马达对象交互。-Control the motor up and wait for the arrival raising events, when the lift reached the user requests the floor, the elevator control doors open, the action sequences, the elevator motor
  3. 所属分类:MySQL

    • 发布日期:2017-04-10
    • 文件大小:1721750
    • 提供者:dikong
  1. shiyan3

    0下载:
  2. 熟悉MCS51开发一个实际项目的过程. 以AT89C52单片机和步进电动机或直流电机为核心设计单片机电梯控制器,假设电梯安装在一个楼层为四层的小楼上。其人机接口包括显示器、键盘、喇叭、指示灯。每层楼电梯口都有上楼、下楼两个按钮,一个7段数码管显示器作为电梯当前层指示灯,2个发光二极管作为电梯运行方向指示灯;进入电梯里边,按数字键选择想要去的目的楼层,一个7段数码管显示器作为电梯当前层指示灯,2个发光二极管作为电梯上行和下行指示灯。电动机正转表示电梯上行,电动机反转表示电梯下行。启动按钮按下去
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:164392
    • 提供者:
  1. PLC

    1下载:
  2. PLC实用子程序的配套源代码,涵盖PLC的经典控制,电机控制,电梯控制等-PLC Utility subroutines supporting source code, covering the classic PLC control, motor control, elevator control, etc.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2015-04-19
    • 文件大小:1050624
    • 提供者:Ling
  1. 18540PhDreport

    0下载:
  2. 一种电梯系统的UML文档 1。简介 本文是该课程的博士项目报告分布式嵌入式系统在卡内基 梅隆大学。在整个过程中,一个分布式实时系统-电梯控制 系统指定,设计,建造,模拟。面向对象分析与设计 方法,在具体的统一建模语言(UML)是设计系统时使用。-A UML documentation for an elevator system 1. Introduction This paper is a PhD project report for the course Dist
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:92978
    • 提供者:汪江
  1. elevator-control

    0下载:
  2. 基于单片机的 电梯控制 十分好用 是做好的毕业设计 -at89s52 elevator control
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:153900
    • 提供者:李宏
  1. dtc

    0下载:
  2. 用DE2 开发板 来模拟仿真现实中的电梯控制 此程序中的电梯数目位8层-With the DE2 board to reality simulation of the elevator control this process the number of bits in the elevator 8 layer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:654772
    • 提供者:王朋
  1. 51黑论坛_基于51的自动升降电梯程序

    0下载:
  2. 通过单片机控制电机模拟电梯自动升降,02./*项目名称:基于51单片机的自动升降电梯简易模型 03./*主控芯片:STC89C52 04./*使用模块:28BYJ_48步进电机、ULN2003驱动模块、无源蜂鸣器、红外发射器、红外接收头、4×4软键盘 05./*平台搭建:废旧泡沫块、硬纸壳 06./*主要功能:装置供电后,通过按下红外遥控器或者软键盘选择楼层所对应的数字,选择升降方式(只上升、只下降、先升再降) 07./* 然后升降电梯(泡沫模拟)停在对应楼层,蜂鸣器
  3. 所属分类:其他

    • 发布日期:2017-12-22
    • 文件大小:44032
    • 提供者:韩立
  1. PLC电梯

    0下载:
  2. 三菱PLC的FX2N-32MR四层电梯控制实验,设备THPLC-1A型 电气控制综合实验台、RS—232数据通信线、THPLC-DT型四层电梯实物教学模型。(MITSUBISHI PLC FX2N-32MR four storey elevator control experiment, equipment THPLC-1A type electric control comprehensive experimental platform, RS - 232 data communication
  3. 所属分类:Windows编程

    • 发布日期:2017-12-24
    • 文件大小:13312
    • 提供者:玦爵
  1. 2017逻辑控制初赛工程

    2下载:
  2. 电梯仿真模型EET的检测评分工程,可检测和评分所编的程序。(Scoring works of elevator simulation model EET ,it can detect and score the compiled program.)
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:6144
    • 提供者:Abby梦
  1. 电梯三菱PLC程序

    0下载:
  2. 采用三菱PLC控制电梯运行,启停,每层楼控制(Use MITSUBISHI PLC to control elevator operation)
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:2048
    • 提供者:haiyi
  1. 电梯控制器报告(Verilog实现)

    1下载:
  2. 实现一个简单的电梯控制器,能够完成一个四层电梯的控制(The realization of a simple elevator controller, to complete a four storey elevator control)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-26
    • 文件大小:16393216
    • 提供者:星空微尘
  1. 基于51单片机的六层电梯c语言控制系统

    1下载:
  2. 基于51单片机的六层电梯c语言控制系统,使用AT89C51单片机,包括源程序
  3. 所属分类:文档资料

  1. elevator

    0下载:
  2. 电梯运行的控制系统,FPGA实现,基于Verilog(Control system of elevator operation)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:1024
    • 提供者:proud
  1. 55680576lift

    0下载:
  2. 电梯的智能控,是很好的毕业设计选择,十分有用(The intelligent control of the elevator is a good choice of graduation design)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:288768
    • 提供者:二维星空
« 1 2 34 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com