CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 电梯控制

搜索资源列表

  1. 电梯控制电路.rar

    0下载:
  2. 所属分类:其它

    • 发布日期:
    • 文件大小:1982
    • 提供者:
  1. xpm3

    0下载:
  2. 该文件的内容是设计一个五层楼的电梯控制装置,要求每层楼根据需要控制设上下控制按键及按键指示灯,全部楼层只设置一个当前楼层显示 电梯内设开关门按键 各楼层按键及指示灯,开关门要有声响提示 每层楼高度为0.30米,楼层全高1.5米-the contents of this document is to design a five-storey building in the lift control device on each floor under the requirements necess
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:2638
    • 提供者:文秀
  1. DEMO22

    0下载:
  2. VHDL源程序,MAXPLUS 环境下运行,电梯控制系统-VHDL source code, under Operation Converter, elevator control system
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:598770
    • 提供者:liu
  1. 电梯调度算法

    1下载:
  2. 51实现电梯控制程序,用于控制电梯上下 有判定功能 调试通过-achieve elevator control procedures for the control of the lift with both determined by functional testing
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:6345
    • 提供者:关琳
  1. VirtualLiftSystem

    0下载:
  2. 对电梯系统运行的全面仿真,把各种情况分为电梯内/外两个场景,并将集中控制与分散控制用OO的思想抽象成相关的对象,可以看出其对电梯控制系统进行了深入地分析-to lift the overall system operation simulation, divided into various lift / outside two scenes will focus on control and decentralized control with the idea of OO into the
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:54275
    • 提供者:oo
  1. DTXT

    0下载:
  2. 用单片机实现电梯控制系统 电梯控制系统的工作原理是:当某 层有要梯信号输入时,呼梯信号锁存系 统将要梯信号锁存,待单片机查询到要 梯信号后,根据要梯信号的位置(即楼 层数)和电梯所处的位置,决定电梯运 行方向,并启动电梯到要梯层停梯、开 门,待乘客进入电梯关门后,再根据乘 客要求把乘客送到目的层。-with MCU elevator control system of the elevator control system working principle is
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:111631
    • 提供者:方凯旋
  1. stair

    0下载:
  2. 多功能电梯控制系统。该系统有三种模式,一般模式,管理模式,报警模式。-multifunctional elevator control system. The system has three modes, the general pattern, management and alarm mode.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:67984
    • 提供者:吴明
  1. dtos

    0下载:
  2. 我自己编写的一个保护模式下实现任务切换的系统软件,并加载运新了电梯控制系统。-I prepared a protected mode switch tasks under the system software, and the loading operation of the new elevator control system.
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:8169
    • 提供者:赵云霞
  1. elevator

    0下载:
  2. 五层电梯控制系统,实现电梯的运转,并且按照自己的指示实现电梯的升降。加一些外围电路等控制芯片组成了电梯控制系统的硬件配置-five-story elevator control system, the functioning of the lift, according to his own instructions to achieve lift-off and landing. Plus some external control circuit chip components on the
  3. 所属分类:软件工程

    • 发布日期:2014-01-15
    • 文件大小:1363
    • 提供者:穆勒
  1. Elevator

    0下载:
  2. AVR单片机ATmega16 开发环境ICC 电梯控制模型 (原创) 测试成功
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3935
    • 提供者:谢明强
  1. 20073281701343812

    0下载:
  2. 六层的电梯控制系统 用VHDL描述同时还附有系统仿真图形
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:162746
    • 提供者:key
  1. Automatic_elevator_control_circuit

    0下载:
  2. 设计一个四层楼房全自动电梯控制电路,每层电梯入口设有上下请示开关各一个 VHDL语言 已测试
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:17664
    • 提供者:fanshixuan
  1. dianti

    0下载:
  2. 6层楼的电梯控制系统--VHDL源程序,2007-12-25
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:2064
    • 提供者:杰少
  1. my_lift

    0下载:
  2. 电梯控制,包括楼层按键相应,显示上下状态。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2117
    • 提供者:白杨
  1. 16lift2vhdl

    0下载:
  2. 这个是16层的电梯控制系统。现在给大家共享下,如果不好。请不要见笑。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2195
    • 提供者:高建军
  1. plc

    0下载:
  2. PLC 在四层电梯 控制系统中的 应用
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:65565
    • 提供者:刘阳
  1. CAN_bus_shangcehnxieyisheji

    0下载:
  2. 提出多帧控制协议和节点互访协议,讨论了两种协议在CAN 协议上的实现及报文调度方法。采用多帧控制协议对多帧报文进行 传输控制。节点互访协议采用存储区映射的方法来建立节点之间的逻辑联系,借鉴了MODBUS 协议请求/应答形式的数据通信方法。实验 表明该设计应用于电梯控制系统,能充分满足性能指标要求。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:85610
    • 提供者:ydw
  1. 2016.12-4上海电机学院电梯课程设计4.0

    0下载:
  2. 使用keil平台与C语言编写的51单片机程序,可以控制电机的转动,达到控制电梯的目的,经proteus仿真验证通过(The 51 single chip computer program written by the Keil platform and the C language can control the rotation of the motor and achieve the purpose of controlling the elevator. It is verified th
  3. 所属分类:单片机开发

    • 发布日期:2018-04-18
    • 文件大小:662528
    • 提供者:米粒爱玩
  1. 简易电梯设计

    1下载:
  2. (1)控制器为六层电梯随机控制系统; (2)每层设有请求按钮和呼叫指示灯; (3)具备梯内控制和梯外控制功能,梯外有呼叫功能,梯内有到达的楼层控制功能及开、合控制功能; (4) 系统具备故障报警和楼层数码显示功能; (5) 电梯具备上行和下行两个方向,但运行过程中不响应梯外呼叫请求。 (6)电梯上楼过程以动态流水灯显示。(MULTISIM,IT WILL MAKES A elevator)
  3. 所属分类:嵌入式/单片机/硬件编程

  1. 西门子单步电梯控制

    1下载:
  2. 利用仿真软件来实现电梯的单步控制,实现电梯的到站停止,到站下车以及到站开门等操作
  3. 所属分类:图片显示浏览

« 1 2 3 45 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com