CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位寄存器 VHDL

搜索资源列表

  1. shifter

    0下载:
  2. 用vhdl实现双向移位寄存器 仿真环境MAXPLUS-II,QUARTUS--bidirectional use VHDL simulation environment shift register Segments-II, QUARTUS-
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:150033
    • 提供者:dm
  1. 84_REG11

    0下载:
  2. 移位寄存器,用VHDL语言编码,可能对你用处不是很大,但做为参考还是很大用处的-shift register using VHDL coding, you may not have much use, But as a reference or very useful
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1856
    • 提供者:jinlong
  1. C_9

    0下载:
  2. 100个经典vhdl编程实例, 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器...... -100 vhdl classical programmi
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:337411
    • 提供者:袁虎
  1. shft_reg

    0下载:
  2. 用VHDL编的移位寄存器,具有置位,清零,装载,方向功能.~-VHDL addendum to the shift register is set, reset, loading, functional direction. ~
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5907
    • 提供者:leochen
  1. uart766

    0下载:
  2. ---实现的部分VHDL 程序如下。   --- elsif clk1x event and clk1x = 1 then ---if std_logic_vector(length_no) >= “0001” and std_logic_vector(length_no) <= “1001” then -----数据帧数据由接收串行数据端移位入接收移位寄存器---rsr(0) <= rxda --- rsr(7 downto 1) <= rsr(6 down
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:157261
    • 提供者:766
  1. encoder

    0下载:
  2. VHDL实现循环码编码,设计了三个单元。switch是一个开关,shifter是移位寄存器,encoder是主体。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1943
    • 提供者:王三一
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. VHDL44model

    0下载:
  2. VHDL的44个实例 包括:8位相等比较器、步进电机控制器、移位寄存器等
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:45472
    • 提供者:陈松
  1. 伪随机序列

    0下载:
  2. 线形反馈移位寄存器(LFSR)是数字系统中一个重要的结构,本程序可以自动产生AHDL,VHDL,Verilog的源代码及电路原理图。程序可以运行在win98/2000/NT平台-linear feedback shift register (LFSR) digital system is an important structure, the process can be automatically generated AHDL, VHDL, Verilog source code and ci
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:163107
    • 提供者:夏沫
  1. PIPE_LINING_CPU_TEAM_24

    1下载:
  2. 采用Quatus II编译环境,使用Verilog HDL语言编写实现了五段流水线CPU。 能够完成以下二十二条指令(均不考虑虚拟地址和Cache,并且默认为小端方式): add rd,rs,rt addu rd,rs,rt addi rt,rs,imm addiu rt,rs,imm sub rd,rs,rt subu rd,rs,rt nor rd,rs,rt xori rt,rs,imm clo rd,rs clz rd,rs slt rd,rs,rt sltu rd,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4947366
    • 提供者:
  1. VHDL1

    0下载:
  2. 移位寄存器和9人表决器电路的VHDL设计方案-Shift register people to vote and 9 of VHDL circuit design
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:1357
    • 提供者:asd
  1. bid_shift_reg

    0下载:
  2. VHDL语言编写,实现双向移位寄存器功能,在MAX+plus软件下实现-VHDL language to achieve bi-directional shift register function MAX+ Plus software to achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2080355
    • 提供者:画眉
  1. zj

    0下载:
  2. vhdl编程的,移位寄存器,八位,支持左移,右移-VHDL programming, shift register, 8, support the left, shifted to right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:10896
    • 提供者:wangjun
  1. zj

    0下载:
  2. vhdl编程 实现移位寄存器 左移动和右移动-VHDL Programming shifter left and right moving mobile
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:918
    • 提供者:wangjun
  1. j

    0下载:
  2. vhdl编程 实现移位寄存器。左移和右移-VHDL programming shift register. The left and shifted to right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2080355
    • 提供者:wangjun
  1. zj

    0下载:
  2. vhdl编程 实现移位寄存器。左移和右移-VHDL programming shift register. The left and shifted to right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2628
    • 提供者:wangjun
  1. 646346666

    0下载:
  2. 一种基于移位寄存器的CAM的VHDL实现,提供下载.-A shift register based on the CAM of VHDL, providing download.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:69467
    • 提供者:张大明
  1. VHDL-book3

    0下载:
  2. D_flipflop:1位D触发器的设计 D_fllipflop_behav:4位D触发器的设计 reg1bit:1位寄存器设计 reg4bit:4位寄存器设计 shiftreg4:一般移位寄存器的设计 ring_shiftreg4:环型移位寄存器的设计 debounce4:消抖电路的设计 clock_pulse:时钟脉冲电路的设计 count3bit_gate:3位计数器的设计 count3bit_behav:3位计数器的设计 mo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:9017647
    • 提供者:贾诩
  1. VHDL

    0下载:
  2. VHDL小程序,其中包含了bcd码转换成格雷码、寄存器的简单设计(并入串出移位寄存器、串入串出移位寄存器)以及脉冲发生器的VHDL实现。适合于基础的VHDL入门。-VHDL small program, which includes a bcd code into Gray code, register for a simple design (String into a shift register, the string into the string out of the shift re
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:304120
    • 提供者:鸿雨
  1. VHDL-Code-and-TestBench-Code

    0下载:
  2. 实现了三个功能电路的程序:时钟分频电路;移位寄存器;序列检测器。-Including three parts:frequency divider shifting register sequential detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:100403
    • 提供者:jimmy020
« 1 2 34 5 »
搜珍网 www.dssz.com