CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 移位寄存器 VHDL

搜索资源列表

  1. multi8x8

    0下载:
  2. 该源码为8位乘法器的VHDL语言描述,由一个8位右移寄存器,2个4位加法器例化成8位加法器,一个16位数据锁存器构成。采用移位相加的方式,从被乘数的低位开始,与乘数的每个位移位相加求和。最后实现其乘法器功能。-The source code for the 8-bit multiplier in VHDL language to describe, from an 8-bit right shift register, two 4-bit adder example into 8-bit add
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:393061
    • 提供者:feng
  1. shift

    0下载:
  2. VHDL写的移位寄存器,可以应付老师的检查,能下载到板子上跑-Shift register can be written in VHDL the teacher checks payable can be downloaded to the board ran
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:2191
    • 提供者:胡恒
  1. SHFT8_BC

    1下载:
  2. ise13.2环境下VHDL编写8位并入串出移位寄存器+仿真波形-ise13.2 environment, VHDL, 8 String into a shift register+ simulation waveforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:479059
    • 提供者:初末
  1. lablab2

    0下载:
  2. 实现四位串入串出的移位寄存器,其实就是四个D触发器相连的VHDL代码,ISE可以运行-Achieve four string into the string out of the shift register, in fact, four D flip-flop connected to the VHDL code, ISE can run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:253234
    • 提供者:林恩
  1. shuanxiangyiweijicunqi

    0下载:
  2. 此程序描写的是双向移位寄存器用VHDL语言描写它的功能,供同学们参考-This program descr iption is bidirectional shift register using VHDL descr iption of its functions, the reference for students
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:116483
    • 提供者:魏银玲
  1. shifter

    0下载:
  2. 用vhdl语言采用时序电路(移位寄存器)的方式实现(7,4)循环码编码器-Vhdl language used by the timing circuit (shift register) way to achieve (7,4) cyclic code encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:880
    • 提供者:Dong Yitian
  1. shifter_8bit

    0下载:
  2. 利用VHDL语言实现的8bit移位寄存器的设置,可以实现左移或者右移,全部工程都在rar里面,可以直接使用。-Using the VHDL 8bit shift register settings, you can achieve the left or right, all the works are in rar inside, can be used directly.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:13753
    • 提供者:miller
  1. shift_reg_G

    0下载:
  2. 一个用定义行为的方法进行编程的移位寄存器的VHDL工程-The method used to define the behavior of a programming shift register VHDL project
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:267727
    • 提供者:ycy
  1. adder_shifter_counter

    0下载:
  2. 用VHDL写的全加器,移位寄存器,和计数器,并有文档说明,非常详细。-Using VHDL write full adder, shift registers, and counters, and is documented in great detail.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:138275
    • 提供者:殷超
  1. vhdlll

    0下载:
  2. VHDL实现帧同步的巴克码器,含有移位寄存器,判决器、译码器。-VHDL realize frame synchronization barker code, contains a shift register, judgment, decoder.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:311643
    • 提供者:徐高兵
  1. yiweijicunqi

    0下载:
  2. 使用并置“&”法写出通用移位寄存器的VHDL模型。在时钟控制下将输入数据寄存,在满足输出条件时输出数据。-Use and set & method common shift register to write VHDL models. Under clock control the input data registers, the output data in the output condition is satisfied.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:852937
    • 提供者:陈睿祺
  1. 2

    0下载:
  2. 用VHDL语言设计一个8位双向可控移位寄存器。 移位寄存器由D型触发器构成,采用串入并出形式。 采用VHDL方式设计一个16х4位RAM存储器-VHDL language to design an 8-bit bidirectional shift register controllable. The shift register by a D-type flip-flops, using the string into and out of form. Way design using
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:999
    • 提供者:赵丽丽
  1. serial_adder

    0下载:
  2. 串行加法器的vhdl描述,用两个移位寄存器和一个全加器,一个d触发器实现(The VHDL descr iption of the serial adder, with two shift registers and a full adder, a D trigger)
  3. 所属分类:硬件设计

    • 发布日期:2018-01-08
    • 文件大小:143360
    • 提供者:daj
« 1 2 3 4 5»
搜珍网 www.dssz.com