CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动

搜索资源列表

  1. 定时自动关闭开关功能

    1下载:
  2. 该程序用于工程中自动控制系统,设定开启时间,当到达指定时间时程序自动停止,该程序定时功能不影响外部定时循环。(A LABVIEW PROGRAM YOU MAY USE)
  3. 所属分类:LabView编程

  1. 道路中线、边桩坐标自动计算

    0下载:
  2. 道路中线、边桩坐标自动计算,只要输入现有数据,即可得到你想要任一点的坐标,方便现场施工测量(The coordinates of the centerline and side piles of the road can be calculated automatically. As long as the input data is available, the coordinates of any point you want are obtained, so that the field
  3. 所属分类:其他

  1. 自动刷票

    0下载:
  2. 自动刷票,简单的例子,供大家互相学习 自动刷票,简单的例子,供大家互相学习(Automatic brush ticket, a simple example for everyone to learn from each other)
  3. 所属分类:其他

    • 发布日期:2018-01-04
    • 文件大小:1139712
    • 提供者:Jesse_Demo
  1. 自动往返小车程序

    0下载:
  2. 单片机,自动往返汽车,红外检测黑线,根据黑线实现加速减速掉头等动作(Singlechip; SCM;Automatic return to the car, infrared detection black line, according to black line to achieve acceleration, deceleration, U-turn and other actions)
  3. 所属分类:其他

    • 发布日期:2018-01-04
    • 文件大小:175104
    • 提供者:小田猪
  1. MyBatis自动生成工具

    0下载:
  2. Java快速生成工具---MyBatis自动生成工具(MyBatis automatic generation tool)
  3. 所属分类:其他

    • 发布日期:2018-01-04
    • 文件大小:1374208
    • 提供者:JoeyBling
  1. 电子琴pro自动演奏

    1下载:
  2. 按键1到按键7分别是电子琴的7个音调,并且按下会有对应的led灯亮。按下按键8会实现电子琴与音乐自动播放的转换,内置欢乐颂。(The keys 1 to buttons 7 are the 7 tones of the electronic organ, and press the corresponding LED lights. Press the button 8 will realize the electronic organ and music automatic play conv
  3. 所属分类:VHDL/FPGA/Verilog

  1. 自动刷图剧情升级2017年11月17日

    1下载:
  2. DNF自动刷图搬砖升级远古深渊易语言源码 编译可用,(DNF automatic brush painting move bricks upgrade ancient abyss easy language source code can be compiled,)
  3. 所属分类:易语言编程

    • 发布日期:2017-11-23
    • 文件大小:50176
    • 提供者:观者、
  1. QE自动源码能生成没修复源码

    0下载:
  2. QE游戏修改器的自动附加源码,可以适应所有小游戏单机游戏的修改操作,包括大型网游,当然需要你破开游戏保护驱动。(QE game modifier automatically additional source code, you can adapt to all small game stand-alone game modification operations, including large-scale online games, of course, you need to break
  3. 所属分类:其他

    • 发布日期:2018-01-05
    • 文件大小:3083264
    • 提供者:默默袁霞
  1. 自动更新登录器

    2下载:
  2. 征服登录器源码!带自动更新,有想法的可以研究(Conquer source code, capable of updating...)
  3. 所属分类:易语言编程

    • 发布日期:2018-01-05
    • 文件大小:2817024
    • 提供者:aguang11
  1. OUMA自动售货

    0下载:
  2. 自动售货机exe文件及源码,C语言编写,简单易学(Vending machine EXE file and source code)
  3. 所属分类:其他

    • 发布日期:2018-01-06
    • 文件大小:44032
    • 提供者:帥樂
  1. labview论坛-自动拷贝

    0下载:
  2. 基于labview自动拷贝数据到指定盘,USB(Automatically copy data to a specified disk)
  3. 所属分类:其他

    • 发布日期:2018-01-06
    • 文件大小:26624
    • 提供者:yaphet_stay
  1. 自动称重分选设备及控制系统设计

    0下载:
  2. 自动称重控制系统优势: 广泛用于制药 | 首选供应商 ,全球领先的自动称重控制系统制造商,产品欠重/漏放/缺件检测,剔除不合格品.广泛用于制药,日化,食品,饮料,电子,五金,物流等(Automatic weighing control system advantages: widely used in pharmaceutical | preferred suppliers The world's leading manufacturer of automatic weighing cont
  3. 所属分类:其他

    • 发布日期:2018-01-06
    • 文件大小:1869824
    • 提供者:amsun119
  1. 自动任务

    0下载:
  2. 御龙在天自动任务 10级任务 20级任务 30级任务 40级任务 50任务(Yulong 10 level task 20 task 30 task 40 task 50 task)
  3. 所属分类:其他

    • 发布日期:2018-01-07
    • 文件大小:82944
    • 提供者:吃货肥肥
  1. 自动改变控件尺寸源代码,vc简单demo

    0下载:
  2. 自动改变控件尺寸源代码,vc简单demo(change control size when window is resizing automatic)
  3. 所属分类:其他

    • 发布日期:2018-01-07
    • 文件大小:33792
    • 提供者:赵小强8888
  1. 自动取MAC地址

    0下载:
  2. 自动列出 公司所有开机电脑的IP也mac地址(Automatically list all the IP MAC addresses of the company's computer.)
  3. 所属分类:其他

    • 发布日期:2018-01-07
    • 文件大小:145408
    • 提供者:aiqyl
  1. 自动读取列表

    0下载:
  2. 非常适合的一款自动获取列表,并下载列表,同步下载。(Get the list automatically and download the list)
  3. 所属分类:其他

    • 发布日期:2018-01-07
    • 文件大小:189440
    • 提供者:清风毒枭
  1. 证码自动识别程序

    1下载:
  2. 使用delphi7开发的一款验证码自动识别程序
  3. 所属分类:Windows编程

  1. 基于matlab飞机自动驾驶仪系统设计

    0下载:
  2. 设计结构图实现飞机自动驾驶,并利用MATLAB中的SIMULINK创建控制系统框图,同时对系统的稳态误差进行分析。(The structure diagram is designed to realize the automatic driving of the aircraft, and the block diagram of the control system is created by using the SIMULINK in MATLAB, and the steady-state
  3. 所属分类:其他

  1. 易语言软件自动更新升级源码

    1下载:
  2. 文件自动更新带进度条的,自己研究的!希望大神继续研究开发(Automatic file update with progress bar)
  3. 所属分类:易语言编程

    • 发布日期:2018-01-09
    • 文件大小:4096
    • 提供者:jiack
  1. mybatis自动生成实体类和配制文件

    0下载:
  2. 这是mybatis自动生成实体类工具 ,简单实用,是开发人员的好帮手。(This is mybatis automatic generation of entity class tools, simple and practical, is a good helper for developers)
  3. 所属分类:网络编程

    • 发布日期:2018-01-09
    • 文件大小:1384448
    • 提供者:柯.西
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com