CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 译码器 VHDL

搜索资源列表

  1. 7-segment_digital_tube_decoder_design

    0下载:
  2. VHDL中7段数码管译码器设计与实现的实验报告,包括源代码-VHDL in the 7-segment digital tube decoder design and implementation of the experimental report, including the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4596
    • 提供者:CXJ
  1. decoder38

    0下载:
  2. vhdl编写的38译码器 完全文件,打开可用-vhdl decoder written 38 full document, open the can
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:166543
    • 提供者:deyi
  1. vhdl

    0下载:
  2. 3分频 器,LED分位译码电路,交通控制器,序列检测器-four programs based on vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2413
    • 提供者:李卓
  1. VHDLseven-segmentdecoder

    0下载:
  2. VHDL在液晶显示上的七段译码器源码,应用于FPGA,ASIC等硬件设计-VHDL in the seven-segment liquid crystal display on the decoder source code, used in FPGA, ASIC and other hardware design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1049
    • 提供者:qianli
  1. 2

    0下载:
  2. BCD码七段译码器CC4511,用VHDL语言来描述CC4511。-BCD code seven-segment decoder CC4511, using VHDL language to describe the CC4511.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2435
    • 提供者:李小勇
  1. decoder38

    0下载:
  2. 基于vhdl的38译码器的实现,很实用的示例程序,物理可实现-decoder 38 based on quartusII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:278317
    • 提供者:lxb
  1. 3_8_DISPLAY

    0下载:
  2. vhdl实现3-8译码器,并通过7段数码管显示程序-vhdl decoder to achieve 3-8, and by 7 segment LED display program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:215242
    • 提供者:陈乾
  1. yimaqi

    0下载:
  2. 译码器,硬件描述语言VHDL。。代码简洁,功能实现的好。-Decoder, VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:134410
    • 提供者:xin
  1. coder1

    0下载:
  2. 这是一用VHDL语言描述的8线-3线译码器,希望对大家有用-This is a descr iption using VHDL, 8-line-3 line decoder, we want to be useful
  3. 所属分类:3G develop

    • 发布日期:2017-04-17
    • 文件大小:80315
    • 提供者:万勇
  1. decoder

    0下载:
  2. 这是一用VHDL语言描述的3线-8线译码器,希望对大家有用-This is a descr iption using VHDL, 3-wire-8 line decoder, we want to be useful
  3. 所属分类:3G develop

    • 发布日期:2017-04-03
    • 文件大小:298555
    • 提供者:万勇
  1. 07401200310

    0下载:
  2. VHDL原程序包括译码器,半加器,全加器-VHDL program, including the original decoder, the half adder, full adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:350034
    • 提供者:过客
  1. YMQ

    0下载:
  2. 7段译码器、实现数码管从0到F的显示的VHDL程序-7 segment decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:523
    • 提供者:小刘
  1. shiyan3

    0下载:
  2. 利用文本编辑器和VHDL语言设计一个半加器和或门,将其定义成Symbol图元,在图形编辑器中利用这些Symbol将其设计成一个全加器。下载到CPLD芯片中,接入输入电平信号和输出LED显示器。还有一个4-16译码器的VHDl程序-adder 4-16
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:408594
    • 提供者:何斌
  1. 1

    0下载:
  2. vhdl 建立3-8译码器,这里面是步骤和代码-3-8 decoder vhdl established, and there are steps and code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:117824
    • 提供者:lmh320
  1. 4-16.doc

    0下载:
  2. 4-16译码器,用VHDL编写的,可以直接下载到可编程逻辑器件中-4-16 decoder, written with VHDL, can be directly downloaded to the programmable logic device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2876
    • 提供者:bbdbdb
  1. jiyuxianxfzmdymqyj

    0下载:
  2. 基于VHDL的线性分组码编译码器设计-jiyuVHDLdexianxinfzm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:209295
    • 提供者:吴崇禧
  1. decoder_38_vhdl

    0下载:
  2. FPGA的三八译码器的实现,VHDL编写-FPGA implementation of the March Eighth decoder, VHDL writing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:227931
    • 提供者:小刘
  1. decoder4_16

    0下载:
  2. 在文本编辑器下有vhdl语言编写416译码器-In a text editor written in 416 under the decoder vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:54161
    • 提供者:kys
  1. ISE_lab16

    0下载:
  2. 使用VHDL语言设计数字钟。 数字钟由晶振、分频器、计时器、译码器、显示器等组成-Digital clock design using the VHDL language. Digital clock from the crystal oscillator, frequency divider, timer, decoder, display and other components
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:482290
    • 提供者:zhangsheng
  1. HDB3ymq

    0下载:
  2. 通信原理课程设计 关于HDB3译码器的VHDL语言实现-use vhdl to transform HDB3
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1712
    • 提供者:jiangxu
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 »
搜珍网 www.dssz.com