CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 调制 vhdl

搜索资源列表

  1. msk_mod

    0下载:
  2. msk 调制解调源码,每符号采样8次。对pn码进行调制后,进行解调,解调过程含:符号差分,中值滤波等过程。-msk modem source code, sample 8 times per symbol. Modulation of the pn code after the demodulation, the demodulation process including: symbol differential, the value of the filtering process.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1276
    • 提供者:刘进
  1. fsks-vhdl

    0下载:
  2. 基于fpga的fsk调制解调,充分利用数字器件的数字特性。-The fsk fpga-based modem, the number of full use of digital devices characteristics.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:9851
    • 提供者:cfx
  1. matlab-gmsk

    0下载:
  2. 基于matlab和vhdl的通信原理gmsk调制算法,主要包括GMSK相位路径的计算,GMSK眼图的仿真以验证相位计算的正确性,正余弦表的量化及bin文件的生成,以及用VHDL硬件语言所描述的基于EPM7128的地址逻辑.-Matlab and vhdl based on the principle gmsk Modulation of communication, including GMSK phase path calculation, GMSK eye diagrams of the s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:460926
    • 提供者:zenpging
  1. QPSK

    1下载:
  2. QPSK 调制器与解调器的设计与实现-QPSK modulate
  3. 所属分类:通讯/手机编程

    • 发布日期:2013-03-22
    • 文件大小:280701
    • 提供者:shan feng
  1. pwm

    0下载:
  2. 适合初学者对PWM调制的学习,解释比较明确,由于来元于核心程序,功能强大-Enables the keyboard scan code in Verilog source code, clear for beginners Comments
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2155
    • 提供者:上关蓝乡
  1. code

    2下载:
  2. <基于Verilog HDL的通信系统设计>源码,包含ASK,FSK,PSK,QPSK,PPM等的调制解调-< Verilog HDL-based communication system design> source, including ASK, FSK, PSK, QPSK, PPM and other modem
  3. 所属分类:Communication-Mobile

    • 发布日期:2014-11-06
    • 文件大小:6144
    • 提供者:cjl
  1. BPSK

    0下载:
  2. 在quartus ii下完成的用VHDL语言编写的数字式调频BPSK的调制,其中DDS和成型滤波使用ip核完成-Accomplished in quartus ii the use of VHDL language digital FM BPSK modulation, which use the ip filter DDS and forming complete nuclear
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:326850
    • 提供者:
  1. Costas

    1下载:
  2. 介绍了某直接序列扩频、QPSK调制系统接收通道中四相Costas 载波跟踪环的原理及其基于 DSP+FPGA 的实现-Introduced a direct-sequence spread spectrum, QPSK modulation system, receive path Costas carrier tracking loop four-phase principle and its implementation based on DSP+ FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2013-05-26
    • 文件大小:562345
    • 提供者:fy
  1. ASK

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行ASK振幅调制-VHDL hardware descr iption language based on the ASK baseband amplitude modulation signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:42044
    • 提供者:李海平
  1. FSK

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行FSK调制-VHDL hardware descr iption language based on FSK modulation baseband signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:51921
    • 提供者:李海平
  1. PSK

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行调制-VHDL hardware descr iption language based on the baseband signal modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:72866
    • 提供者:李海平
  1. cpsk-vhdl

    0下载:
  2. 基于VHDL硬件描述语言,对CPSK调制的信号进行解调-VHDL hardware descr iption language based on CPSK modulated signal demodulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:25675
    • 提供者:张拓
  1. Perfect-VHDL

    1下载:
  2. 1 步进电机定位控制系统VHDL程序与仿真 2 采用等精度测频原理的频率计程序与仿真 3 URAT VHDL程序与仿真 4 自动售货机VHDL程序与仿真 5 电子琴程序设计与仿真 6 出租车计价器VHDL程序与仿真 7 DAC0832 接口电路程序 8 FSK调制与解调VHDL程序及仿真 -1stepper motor positioning control system for VHDL procedures and simulation
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:1313422
    • 提供者:liu
  1. gmsk-VHDL

    0下载:
  2. vhdl仿真gmsk调制过程,北邮通信原理课设-class set of the the vhdl simulation gmsk modulation process, Beijing University of Posts and Telecommunications Communication Theory
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:160421
    • 提供者:hhy
  1. 8.9-ASK

    0下载:
  2. ASK调制VHDL程序、ASK调制VHDL程序仿真图及注释、ASK解调VHDL程序及仿真-ASK modulation VHDL program, ASK modulation process and VHDL simulation diagrams and notes, ASK demodulation process and VHDL simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:42058
    • 提供者:吴勇
  1. FSKVHDL

    0下载:
  2. FSK调制VHDL源程序: --文件名:PL_FSK --功能:基于VHDL硬件描述语言,对基带信号进行FSK调制 -FSK modulation VHDL source code:- File name: PL_FSK- Function: Based on the VHDL hardware descr iption language, the baseband signal FSK modulation
  3. 所属分类:Other systems

    • 发布日期:2017-11-11
    • 文件大小:3413
    • 提供者:柴国建
  1. VHDL-2FSK

    0下载:
  2. 基于VHDL的FSK调制与解调, 基于VHDL的FSK调制与解调,-VHDL-based FSK modulation and demodulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:631
    • 提供者:a
  1. FPGA-exmaple

    0下载:
  2. FPGA实例合集,包含步进电机定位控制系统VHDL程序与仿真,MASK调制VHDL程序及仿真-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:1278311
    • 提供者:LD
  1. PSK-modulator-design-using-VHDL

    0下载:
  2. 用VHDL语言编程来实现PSK调制器的设计,1)产生基带序列 ,此处用M序列 2)完成PSK调制 -PSK modulator using VHDL language programming to design, 1) generates the baseband sequence, here M-sequence 2) complete the PSK modulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:185700
    • 提供者:邹燕喃
  1. 8.9-ASK-modulation-VHDL

    0下载:
  2. 用VHDL实现ASK的调制和解调仿真程序,简单实用很有有帮助-Using VHDL ASK modulation and demodulation simulation program
  3. 所属分类:MacOS develop

    • 发布日期:2017-11-19
    • 文件大小:42008
    • 提供者:范少蕾
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 18 »
搜珍网 www.dssz.com