CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 长按键

搜索资源列表

  1. I2C_v

    0下载:
  2. 本工程主要是介绍操作一个I2C总线接口的EEPROM AT24C08的方法,使用户了解I2C总线协议和读写方法。 2、通过JTAG口把I2C_FPGA.sof下载到FPGA后,请先长按reset按键大约1秒左右,以进行初始化。按一下实验板上的KEY1键,计数器加1计算,并把计算结果写入EEPROM,并同时显示在数码管最低位,按KEY0把EEPROM的数据读取出来,并显示在数码管上。-Operation of this project is to introduce an I2C bus inte
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1190152
    • 提供者:merlin
  1. key

    0下载:
  2. 【精】送给大家一个按键长按和短按处理程序[开源+仿真通过]内有Proteus仿真例子和源码程序。程序可以实现按键长按、短按的处理。51单片机仿真通过!-[Fine] gave us a key long press and short press process [source+ simulation by] within the Proteus simulation examples and source code program. Program can be key long press,
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:26129
    • 提供者:薛二炜
  1. dew

    1下载:
  2. 一键多能开关程序(em78p153spj) 电路结构:P1口接8个LED(低电平使能) P3.3口接键盘(占用中断) 程序功能: 可以用单键实现6种功能, 所有按键功能占用时间小于1秒. 1秒内单击一次,1号灯亮 1秒内双击,2号灯亮 1秒内三次按键,3号灯亮 1秒内长按,4号灯亮 1秒内单击加长按,5号灯亮 1秒内二次单击加长按,6号灯亮 程序正常工作时,8号灯闪烁(2HZ) -More th
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:1077
    • 提供者:袁季稻
  1. Multi-function-key

    0下载:
  2. 阐述了采用状态机思路实现多功能按键检测的方法。其中包括单击,双击,长击-Idea of ​ ​ a state machine described to achieve multi-function button detection. These include click, double click, long click
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:15175
    • 提供者:hzl
  1. src

    0下载:
  2. 基于状态机思想的独立按键检测程序,可检测短按、长按-Independent thinking based on state machine key testing procedures can detect short press, long press
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:2400
    • 提供者:LI
  1. A-two-way-LED-KEY-control

    0下载:
  2. 用一个按键控制两路灯(第一次按键时第一个灯长亮,按第二次时转为第二个灯先闪后长亮)-Control of two lights with one button (the first button when the first light long light, according to a second when the second light long after the first flash light)-
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:61440
    • 提供者:陈彬
  1. src-V0.1.0-2012-02-041210

    0下载:
  2. 程序员:钱树勇 采用定时中断检测4*4按键;次程序只支持短按模式(若需要支持长按模式,请搜索我的另一程序源码:src-V0.1.1-2012-02-041701.rar);具有松手检测功能。采用定时扫描模式,无死循环代码,所以更少占用Cpu资源(按键测试正常工作,不理解代码者可留言或至新浪博客:钱树勇留言)-Timer interrupt to detect the 4* 4 buttons this program only recognizes the short mode (need
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:1576
    • 提供者:钱树勇
  1. balumonicaiji

    0下载:
  2. 8路输入模拟信号数值显示巡检数字电压表可以测量0--5V的8路输入 模拟信号电压值,并在4位LED数码管上轮流显示或单路选择显示。 按系统功能实现要求,决定控制系统采用AT89C52单片机,A/D转换采用ADC0809。系统除能确保实现要求的功能外,还可以方便地进行8路其他A/D转换量的测量、远程测量结果传送等扩展功能。 采集 8 个通道的模拟量在数码管显示出来。模拟量值的测量范围是0-255,第2个数码管用于显示哪一个通道,后2个数码管用于显示采集的模拟量的值,每秒钟显示切换一下通道
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:58767
    • 提供者:楚明岩
  1. yuyinwenduji

    0下载:
  2. 基于单片机的语音温度计的设计 包含 源程序 原理图 PCB 源文件 先录音,能分160段(地址为00H-0A0H)。我们说话平均语速4字/秒,所以20秒我们录80字。 经过计算,每个字占2个地址。我们录音15段。 录音用S1键,放音控制用S2键。录音时按下键后开始录音,录完每段后放开按键,录音停止。 共录15段,录每段时同时用数码管提示,分别用数字1-F来表示。 录音时按住来录音,放开手停止录音。 按语音(长按等到数码管变暗放手)提示键播报温度,不按不播报
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:113822
    • 提供者:楚明岩
  1. clock

    0下载:
  2. clk: 标准时钟信号,本例中,其频率为4Hz; clk_1k: 产生闹铃音、报时音的时钟信号,本例中其频率为1024Hz; mode: 功能控制信号;为0:计时功能; 为1:闹钟功能; 为2:手动校时功能; turn: 接按键,在手动校时功能时,选择是调整小时,还是分钟; 若长时间按住该键,还可使秒信号清零,用于精确调时; change: 接按键,手动调整时,每按一次,计数器加1; 如果长按,则连续快速加1,用于快速调时和定时; hour,min,se
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:491790
    • 提供者:happy
  1. duo-ge-an-jian

    0下载:
  2. AT89S52 C语言 矩阵按键 多个按键同时按下下 识别算法,并能识别长按短按。-AT89S52 is C language matrix button multiple keys pressed simultaneously under the recognition algorithm, and identifies the press a short press.
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:5525
    • 提供者:张军虎
  1. Single-chip-key-long-and-short-press

    1下载:
  2. 单片机按键长按与短按 实现按键的复用功能,增强按键的灵活性-Single-chip key long and short press
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:744
    • 提供者:
  1. Project2

    0下载:
  2. 1、 硬件部分包括AT89C52、LCD1602和4个独立按键 2、 使用定时器0产生10ms的定时中断,作为时钟基准和软件定时器的基准。 3、 系统使用两个软件定时器Tkeyscan和Tdisplay。 Tkeyscan用来独立按键模块的定时扫描,每次扫描结合按键状态机的当前状态判断按键的有效性(消抖)及其时长(长按还是短按)。 Tdisplay用来定时激活LCD1602的显示(200ms一次,可自行修改)。 4、 整个系统在四种状态间流转:DISPL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:73444
    • 提供者:杨若
  1. single_long_double_button

    0下载:
  2. 采用ATMEGA48单片机,采用状态机实现按键的单击、双击、长按的按键功能-The ATMEGA48 microcontroller, using the state machine to implement the double click click button long press keys function
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:105018
    • 提供者:林煌
  1. key

    0下载:
  2. 用stm32实现按键的长按和短按,通过子函数返回-The stm32 achieve subfunction button long press and short return
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1255961
    • 提供者:王朋朋
  1. keyboard

    0下载:
  2. 基于VHDL的4*4矩阵键盘扫描,包括按键次数计数功能,已处理防抖、长按、双键-Count function based on VHDL-4* 4 matrix keyboard scanning, including the number of keys, and have been processed image stabilization, long, double bond
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-10
    • 文件大小:1639027
    • 提供者:Charles
  1. FSM

    0下载:
  2. 按键的状态机实现,可以实现,短按,长按。连击等效果。-The keys of the state machine, could achieve, short press, long press. Batter and other effects.
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:677
    • 提供者:郑余
  1. msp430_LSKey

    0下载:
  2. msp430上的按键程序,包含独立键盘的长按,短按检测,以及矩阵键盘,使用的时候可以根据预编译选项选择功能-button on the msp430 procedures, including independent keyboard long press, short detection, and matrix keyboard, use the time function can be selected according to pre-compile option
  3. 所属分类:SCM

    • 发布日期:2014-10-21
    • 文件大小:182272
    • 提供者:曾学民
  1. anjian

    0下载:
  2. 基于51单片机带长按功能的按键显示,kill软件。-Based on 51 single-chip with a long press function key, kill software.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:21657
    • 提供者:陈光
  1. chip-microcomputer-KEY-scan

    0下载:
  2. 电路中按键检测的代码,长按则快速闪动,基于51单片机。-Circuit button detection code, based on 51 single-chip.
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1162
    • 提供者:Wujiezhong
« 1 2 3 4 5 6 78 9 10 11 12 13 »
搜珍网 www.dssz.com