CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 音阶

搜索资源列表

  1. dianpianji

    1下载:
  2. 单片机课程设计电子琴c语言程序,可以发出16个音阶。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3632
    • 提供者:张旭
  1. piano

    0下载:
  2. 下载别人的谢谢原作者2. 程序编译说明:用3*7数组定义21个音阶。读取已有的自编的乐谱文件,按文中内容奏乐,若文中有不合规定的字符,显示”press any key to exit”。用getmsckey()函数来得到频率数组坐标,用play()函数获取拍数及频率数组坐标。-Thank you download other people's two original author. Compiler Note : * 7 3 array definition 21 chromatic.
  3. 所属分类:多媒体

    • 发布日期:2008-10-13
    • 文件大小:52797
    • 提供者:碧蓝天
  1. Code

    1下载:
  2. 基于Matlab的八度音阶发音演奏程序,包括9个源文件,七个音阶,一个频率调制,一个完成结果
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:2897
    • 提供者:AJ
  1. OK

    0下载:
  2. 51输出26个不同的音阶,4*4键盘输入,初学者用
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1138
    • 提供者:123456
  1. epiano.vhdl

    0下载:
  2. 电子琴VHDL程序包含有:顶层程序、音阶发生器程序、数控分频模块程序和自动演奏模块程序
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:50220
    • 提供者:李立
  1. Piano

    0下载:
  2. 用java实现的模拟钢琴,结构比较简单,一共7个音阶
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:1839
    • 提供者:xaydyj
  1. piano

    0下载:
  2. 一个在windows下调用midi发声的简单模拟钢琴程序,请多多指教 使用方法:打开程序后,直接敲击键盘上的“1”、“2”、“3”……可发出钢琴声。敲a可改变到最第音阶、敲b可变到低音阶、c为中音 、d 为高一音阶、 e 、如此类推
  3. 所属分类:midi

    • 发布日期:2008-10-13
    • 文件大小:1982
    • 提供者:zhang
  1. lesson24code

    0下载:
  2. 单片机实验:单个按键控制多个音阶声音输出。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:11608
    • 提供者:力王
  1. dianziqin

    1下载:
  2. 按照音符来设定频率和8253定时/计数器的延时时间。8253的CLK0接1MHz时钟,GATE0接+5V,OUT0接8255的PA0,J1接喇叭,编程使计算机的数字键1、2、3、4、5、6、7作为电子琴按键,按下即发出相应的音阶。 要求: (1)层以8255接八个开关K1~K8,做电子琴按键输入。 (2) 以8253控制扬声器,拨动不同的开关,发出相应的音阶。
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:72523
    • 提供者:hyc
  1. m

    0下载:
  2. 利用伟福实验仪提供的键盘(4×6),使相应按键能够实现高、中、低相应的音调。(各音阶标对应的频率学生自己查找)
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:224695
    • 提供者:fanyufeng
  1. lanipcsoft

    0下载:
  2. flash 键盘音效取自win2000系统ding.wav,经过CoolEdit处理成音阶,在Flash中导入在相应按钮上。 没有难度,就是耐心一点,成绩不错哦! 对应表: 低音G-a #G-w A-s #A-e B-d 中音C-f #C-t D-g #D-y E-h F-j #F-i G-k #G-o A-l #A-p B- 高音C-1 D-2 E-3 F-4 G-5 A-6 B-7 C(high)-8 #C-c #D-v #F-b #G-n #A-m
  3. 所属分类:FlashMX源码

    • 发布日期:2008-10-13
    • 文件大小:614787
    • 提供者:hetlo
  1. electronicorgan

    0下载:
  2. 4x4矩阵键盘电子琴把“单片机系统”区域中的P1.0 端口用导线连接到“音频放大模块”区域中的SPK IN 端口上; (2. 把“单片机系统“区域中的P3.0-P3.7 端口用8 芯排线连接到“4X4 行列式键盘”区域中的C1-音乐产生的方法; 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率 的组合,即可构成我们所想要的音乐了,当然对于单片机来产生不同的频率非常方便,我们可以利用单片 机的定时/计数器T0 来产生这样方波频率信号,因此,我
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:887
    • 提供者:zhoudaxian
  1. gaojidianziqin

    0下载:
  2. 实现高级电子琴的程序,并实现若干扩展功能。 基本功能:用8257键盘输入对应的七个音阶,通过实验箱的喇叭发出声音,并通过七段数码管显示输入音阶; 扩展功能:1、录音:记录所弹奏曲目 2、放录音:任意时刻重放最新记录曲目 3、在程序中可预设一曲目,按一键实现播放该曲目。 4、在播放录制曲目或预设曲目时,按8279键盘上任意键可以暂停播放,再按一次从暂停处继续播放。 5、程序运行时有友好的用户介面
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:75245
    • 提供者:xutao
  1. 模拟电子琴

    0下载:
  2. 一、 实验目的: (1. 由4X4组成16个按钮矩阵,设计成16个音。 (2. 可随意弹奏想要表达的音乐。 二、 实验原理 (1. 4X4行列式键盘识别; (2. 一首音乐是许多不同的音阶组成的,而每个音阶对应着不同的频率,这样我们就可以利用不同的频率的组合,即可构成我们要的所想音乐了,当然对于单片机来产生不同的频率非常方便,我们可以利用单片机的定时/计数器T0来产生这样方波频率信号,因此,我们只要把一首歌曲的音阶对应频率关系弄正确即可
  3. 所属分类:嵌入式/单片机编程

  1. 51单片机电子琴

    1下载:
  2. 摘要:本系统以Atmel的AT89c52单片机为主控制器,通过制作硬件电路和软件的设计编写,然后进行软硬件的调试运行,最终达到设计电路乐曲弹奏播放功能和存储重放音乐功能。设计中运用中断系统和定时/计数原理控制电子音乐器发生,并对所需的音阶和贝斯用相应的程序语言编写来实现,用8段数码显示管显示所弹奏的乐谱内容。将两个89c52单片机的串行口连接起来,实现5米以外的通信。输出通道通过一个功率放大器驱动扬声器发声。 关键词:单片机,音乐演奏器 目录: 摘要……………………………………………………
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. 简单的电子琴

    0下载:
  2. 一个简单的电子琴 用16个按键控制 可以发出12个不同音阶 通过可以存储音阶 可以重放音乐
  3. 所属分类:单片机(51,AVR,MSP430等)

  1. dianziqin.rar

    0下载:
  2. 电子琴程序,该电子琴程序为汇编语言,主要功能有发出7个不同的音阶,同时不同的音阶还对应着不同的led小灯显示的数字。除此之外,该电子琴程序还有自动放歌功能。,Organ program, the keyboard assembly language procedures, the main functions are to issue seven different scales, while a different scale also corresponds to a different l
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:824
    • 提供者:魏庆明
  1. danpianji

    0下载:
  2. 单片机电子琴编程,一能弹出8个音阶,二能奏出以编好的程序,奏出生日快乐歌-Keyboard microcontroller programming
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:246782
    • 提供者:open
  1. ZC-51

    0下载:
  2. 1 闪烁一个LED灯--学习建立你的第一个工程 2 流水灯--延时 3 蜂鸣器发出报警音--声音产生实验 4 一位数码管0-9计数器--74HC164编程学习 5 用定时器控制闪烁一个LED灯--定时器 6 0-9999计数器--4位数数码管 7 按键计数器--外部中断 8 数码管时钟 9 LCM1602液晶屏显示--液晶屏 10 音阶产生器--音乐实验 11 4*4小键盘实验--键盘学习 12 写24C02--IIC总线写实验 13 读24C02
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:394074
    • 提供者:郑葡萄
  1. Electronicorgandesignreport

    1下载:
  2. 本系统以Atmel的AT89c52单片机为主控制器,通过制作硬件电路和软件的设计编写,然后进行软硬件的调试运行,最终达到设计电路乐曲弹奏播放功能和存储重放音乐功能。设计中运用中断系统和定时/计数原理控制电子音乐器发生,并对所需的音阶和贝斯用相应的程序语言编写来实现,用8段数码显示管显示所弹奏的乐谱内容。将两个89c52单片机的串行口连接起来,实现5米以外的通信。输出通道通过一个功率放大器驱动扬声器发声。-This system to Atmel' s AT89C52 single-chip
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:159545
    • 提供者:王静静
« 1 23 4 5 6 7 8 »
搜珍网 www.dssz.com