CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 频率计

搜索资源列表

  1. STC12C2052

    0下载:
  2. STC12C2052实现数字频率计及其源代码-STC12C2052 digital frequency meter and its source code
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:25737
    • 提供者:顾晓燕
  1. pinyujideshixian

    0下载:
  2. 基于单片机的频率计的实现和protuse仿真-Frequency meter based on single chip implementation and protuse simulation
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:66290
    • 提供者:No Name
  1. my-test

    0下载:
  2. 简易数字频率计程序, LCD显示简易频率计 MCU: STC89C52 晶振:11.0592Mhz LCD显示频率,周期,脉宽 -Simple digital frequency meter program, LCD display simple frequency meter MCU: STC89C52 crystal: 11.0592Mhz LCD displays frequency, period, pulse width
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:132996
    • 提供者:BERVIE ZHAO
  1. 2

    0下载:
  2. 八位频率计的课程设计,文件全套 八位频率计的课程设计,文件全套-Eight frequency meter course design, file a full set of eight frequency meter course design, a full set of documents
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:393148
    • 提供者:徐华伟
  1. demo_11_3

    0下载:
  2. 基于T/C1捕捉功能的可变量程频率计的设计与实现 AVR ATmega16单片机设计-Variable based on T/C1 capture range frequency meter Design and Implementation of AVR ATmega16 microcontroller design
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:1838
    • 提供者:哈蕊
  1. c51-plj

    0下载:
  2. 运用c51单片机芯片、用c语言单片机编程实现频率计设计、频率值通过数码管显示-The use of c51 microcontroller chip microcontroller using c programming language design frequency meter, frequency by digital display
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:17388
    • 提供者:
  1. Verilog-HDL.RAR

    0下载:
  2. 采用Verilog HDL语言编写的数字频率计,可以作为不错的练习或课设题-vhdl langue
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1319544
    • 提供者:zhaoliang
  1. 6led-Frequency

    0下载:
  2. 频率计。6位数码管显示,普通89c51控制芯片制作的频率计(计数器),可以通过学习,掌握频率计、计数器的工作原理,也可以在业余无线电制作精度要求不高的场合使用-Frequency meter. 6-bit digital control, general 89c51 control chip produced by the frequency meter (counter), you can learn and master frequency meter, the counter works,
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:46454
    • 提供者:东苹之恋
  1. QuartusII-plj

    0下载:
  2. 用QuartusII与FPGA设计等精度频率计,内附程序、设计思路、设计报告,内容翔实,功能强大-QuartusII and FPGA design using precision frequency meter, containing procedures, design ideas, design reports, informative and powerful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:9579537
    • 提供者:何方
  1. bit8-FPGA

    0下载:
  2. 本文是介绍基于FPGA的八位频率计,,,讲了一些方法,提供了详细的程序语言,挺详细,挺不错的-This article is to introduce the eight frequency meter based on FPGA,,, say a number of ways, providing a detailed programming language, very detailed, very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:5284
    • 提供者:董杭
  1. f_meter

    0下载:
  2. Verilog频率计,可以测出1~9999hz的频率,分模块做成顶层文件-Verilog frequency meter can measure 1 ~ 9999hz frequency, sub-module is made of top-level files
  3. 所属分类:Other Embeded program

    • 发布日期:2017-05-08
    • 文件大小:1889925
    • 提供者:苍毛竹
  1. dengjingdu-pinlv

    0下载:
  2. 基于quartus II 软件用vhdl语言写的等精度频率计实验 源代码、最终生成文件全程奉献-Based on quartus II software written in other languages ​ ​ using vhdl precision frequency meter test source code, the resulting file full dedication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:687238
    • 提供者:大毛
  1. Measured-phase-shift-FPGA

    0下载:
  2. 数字频率计是用于测量信号频率的电路。测量信号的频率参数是最常用的测量方法之一。实现频率测量的方法比较多, 在此我们主要介绍三种常用的方法: 时间门限测量法、标准频率比较测量法、等精度测量法-Digital frequency meter is used to measure the frequency of the circuit. Measuring signal frequency parameter is the most common measurement methods. The m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6385730
    • 提供者:chenfeihu
  1. 1

    0下载:
  2. 基于PIC的频率计程序,使用捕捉功能采集脉冲信号,测量范围-Procedures based on PIC frequency counter
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:2730
    • 提供者:郭振
  1. verilogClassicSamples

    0下载:
  2. verilog常用程序及其仿真结果整理,包括LCD,LED,AD采集,URAT,电子琴,电梯控制,自动售货机控制,出租车计价器,电子时钟,频率计,MPSK调制与解调-verilog common finishing process and its simulation results, including LCD, LED, AD collection, URAT, keyboard, elevator control, vending machine control, taxi meter,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1278470
    • 提供者:ZhangYan
  1. freq_count

    0下载:
  2. 51单片机频率计程序,最高测量65535hz,小数点自动显示,当大于9999HZ,自动转成显示KHZ单位的频率数。-51 single-chip frequency counter program, the maximum measurement 65535hz, decimal point automatically, when more than 9999HZ, automatically converted into display KHZ frequency in number.
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:16852
    • 提供者:打打打打打
  1. Frequency-counter

    0下载:
  2. 基于FPGA的频率计设计。通过FPGA运用、 HDL编程,利用FPGA(现场可编程门阵列)芯片设计了一个8位数字式等精度频率计,该频率计的测量范围为0-100MHZ,利用QUARTUS II集成开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,经实际电路测试,仿真和实验结果表明,该频率计有较高的实用性和可靠性。-Frequency counter FPGA-based design. By using FPGA, VHDL programming, the use of FPGA (fi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:596239
    • 提供者:吴亮
  1. tmx

    0下载:
  2. lcd显示频率计 配套开发板型号:A-C8V4-supporting the development of frequency meter lcd display board Model: A-C8V4
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:990
    • 提供者:杨瑞
  1. 51

    0下载:
  2. * AT89S52的12864液晶演示 数据p0,控制p2 * * 功能: * * 万年历、频率计、密码锁、点阵屏 * * * 说明: * * 此程序完整,能实现万年历、频率计、点阵演示和密码服务功能,初始密码为88888888。 -* AT89S52 the 12864 LCD demo data p0, control
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:30944
    • 提供者:吴武
  1. 等精度数字频率计使用VERILO语言实现

    0下载:
  2. 等精度数字频率计使用VERILO语言实现,大家可以-To such precision digital frequency the meter use VERILO language, we can see
  3. 所属分类:VHDL编程

    • 发布日期:2017-11-05
    • 文件大小:230852
    • 提供者:夏滢
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 50 »
搜珍网 www.dssz.com