CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 11.5.1

搜索资源列表

  1. dCHAP3

    0下载:
  2. 1. 创建MyDatabase.gdb数据文件。 2. 用SYSDBA用户登录。 3. 在ISQL窗口中,依次输入本书提供的SQL语句;或者在SQL Explorer窗口中,根据表3-1至表3-10创建数据表。(通过直接注册附带光盘所提供的MyDatabase.GDB数据库文件,可以直接获得这些数据表。) 4. 在SQL Explorer窗口中,创建MyDB数据库连接。 5. 输入初始数据。除了表3-11至表3-13的内容,还需要根据需要设定院系编号、班级号和计数器。 -1.
  3. 所属分类:其他数据库

    • 发布日期:2008-10-13
    • 文件大小:420586
    • 提供者:bansom
  1. chenxuweihushiuce

    0下载:
  2. 十一、程序维护手册 1.引言 1 1.1编写目的 1 1.2开发单位 1 1.3定义 2 1.4参考资料 2 2.系统说明 2 2.1系统用途 2 2.2安全保密 2 2.3总体说明 2 2.4程序说明 2 3.操作环境 2 3.1设备 2 3.2支持软件 2 3.3数据库 2 4.维护过程 2 4.1约定 2 4.2验证过程 2 4.3出错及纠正方法 2 4.4专门维护过程 2 4.5专用维护程序 2 4
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:9790
    • 提供者:wei163
  1. ruanjianweitibaogao

    0下载:
  2. 十二、软件问题报告 1.登记号 1 2.登记日期 1 3.问题发现日期 1 4.活动 2 5.状态 2 6.报告人 2 7.问题属于什么方面 2 8.模块/子系统 2 9.修订版本号 2 10.磁带 2 11.数据库 2 12.文件号 2 13.测试用例 2 14.硬件 2 15.问题描述/影响 2 16.附注 2-12, a software problem report. Registration No. 2. 1 3 d
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:7810
    • 提供者:wei163
  1. ftbbsv5.6

    0下载:
  2. 采用了静态缓存模板标签技术,从而大大提高了论坛的速度,取消了网友昵称,把用户名和昵称合二为一,采用COOKIES技术,解决了很多网友提出的会超时的问,加强程序安全防范以及程序的优化,后台增加了按不同条件重新生成贴子的功能,以及论坛转换的功能,整合了以前版本的一些代码,同时修正了以前版本网友常提到的一些问题 v5.6更新: 1.重新调整了后台管理界面使其更为美观. 2.整合了论坛参数设置便于统一管理. 3.新增用户注册时用户名长度与密码长度的后台控制设置. 4.新增用户上传权限
  3. 所属分类:Web服务器

    • 发布日期:2008-10-13
    • 文件大小:1402533
    • 提供者:高天
  1. JavaJUIChap06

    0下载:
  2. java图形用户界面 6.1 HelloWorldSwing 6.2 按钮计数器 6.3 Swing按钮 6.4 温度转换器 6.5 HtmlDemo 6.6 投票器 6.7 TextSamplerDemo 6.8 Swing菜单 6.9 文件对话框 6.10 月相 6.11 单位转换器 6.12 Swing动画-java graphical user interface buttons 6.1 6.2 counter HelloWorldSwin
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:131481
    • 提供者:Jacky
  1. sksms

    0下载:
  2. SK信息采集2.0功能介绍: 1.可针对任何静态网页,动态网页进行采集。包括htm,html,shtml,ASP,ASPX,JSP,PHP等。 2.增加自定文件采集.用户可采集网页中的所有文件. 3.采集支持utf-8和big5编码并能转换繁体为简体. 4.支持文章保存图片到本地,自动替换文件名功能. 5 .jpg、gif、png、bmp图片自动加水印(水印图片及位置可设置) 6.采集内容替换功能,用户可设置替换规则进行替换. 7.支持所有文件远程保存到本地.
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:9989625
    • 提供者:cocu
  1. dingdianchengfaqisheji

    0下载:
  2. 目录: 0、 约定 1、 无符号数一位乘法 2、 符号数一位乘法 3、 布思算法(Booth algorithm) 4、 高基(High Radix)布思算法 5、 迭代算法 6、 乘法运算的实现——迭代 7、 乘法运算的实现——阵列 8、 乘加运算 9、 设计示例1 —— 8位、迭代 1、 实现方案1 —— 一位、无符号 2、 实现方案2 —— 一位、布思 3、 实现方案3 —— 二位 10、设计示例2 —— 16位、
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:382707
    • 提供者:少华
  1. uCOSIIinLPC210X

    0下载:
  2. 关于 uC/OS-II 在 LPC210X 上移植的说明 1. 全部代码在 ADS1.2 中编译调试. 2. 您可以更改 RO BASE 为 0x0000 0000, 这样可以将代码写入 flash 中运行. 5. 全部代码采用 ARM 指令. 6. uC/OS-II 版本为 V2.52. 7. 当您暂停程序的时候, 如果定时器开着, 那么定时器并不会暂停,需要注意 8. Vectors.S 文件中的 startup 段为程序入口. 9. 编译时下面的警告不必理会.
  3. 所属分类:uCOS开发

    • 发布日期:2008-10-13
    • 文件大小:239683
    • 提供者:王鑫
  1. DirectX11

    1下载:
  2. 游戏开发导引第11章源码,颜色混合,书很好,BaiDu上搜不到源码的,故上传,太大了(总共200兆)难下载,只好分开传,原本该网站只有1-5章内容-game development Seeker Chapter 11 of the source code, color mixing, a good book, not found on the portal source code, Upload it, too (total 200 megabytes) hard to download, bu
  3. 所属分类:3D图形编程

    • 发布日期:2008-10-13
    • 文件大小:429598
    • 提供者:qtbjq
  1. 51XXMP3

    0下载:
  2. 曲谱存贮格式 unsigned char code MusicName{音高,音长,音高,音长...., 0,0} 末尾:0,0 表示结束(Important) 音高由三位数字组成: 个位是表示 1~7 这七个音符 十位是表示音符所在的音区:1-低音,2-中音,3-高音 百位表示这个音符是否要升半音: 0-不升,1-升半音。 音长最多由三位数字组成: 个位表示音符的时值,其对应关系是: |数值(n): |0 |1 |2 |3 | 4 | 5 | 6 |几分音符: |1 |2 |4
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:3746
    • 提供者:王建军
  1. j_10366_soft_hotel

    0下载:
  2. 1、全面展示宾馆的自然情况和服务内容; 2、介绍宾馆信息,帮助客人了解宾馆相关信息; 3、为客户提供宾馆所在地旅游景点的详细信息; 4、向客户全面提供宾馆客服信息; 5、实现网上在线定房业务,方便客人订房; 6、实现留言板功能,客人可以随时通过网站填写反馈信息; 7、通过后台管理网站初始化信息; 8、实现后台对前台信息、上传图片的管理功能; 9、管理前台景点信息; 10、通过后台管理宾馆客服信息; 11、通过后台管理人员可以管理客人订房信
  3. 所属分类:酒店行业

    • 发布日期:2008-10-13
    • 文件大小:3851161
    • 提供者:邱国清
  1. rs_decoder_31_19_6.tar

    1下载:
  2. Hard-decision decoding scheme Codeword length (n) : 31 symbols. Message length (k) : 19 symbols. Error correction capability (t) : 6 symbols One symbol represents 5 bit. Uses GF(2^5) with primitive polynomial p(x) = X^5 X^2 + 1
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-22
    • 文件大小:14247
    • 提供者:孟轲敏
  1. Delphi-ChangYongShuZhiSuanFa

    0下载:
  2. Delphi常用数值算法(源码) 这些算法将为千千万万非计算机专业的工程技术人员架起一座方便快捷的桥梁,并能缩短应用软件的编制周期,减少重复劳动,达到事业功倍的效果。 第1章线性代数方程组的解法 第2章插值 第3章数值积分 第4章特殊函数 第5章函数逼近 第6章特征值问题 第7章数据拟合 第8章方程求根和非线性方程组的解法 第9章函数的极值和最优化 第10章傅里叶变换谱方法 第11章数据的统计描述 第12章解常微分方程组 第13章偏
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:1597499
    • 提供者:
  1. 9.1_ONE_PULSE

    0下载:
  2. 基于Verilog-HDL的硬件电路的实现 9.1 简单的可编程单脉冲发生器   9.1.1 由系统功能描述时序关系   9.1.2 流程图的设计   9.1.3 系统功能描述   9.1.4 逻辑框图   9.1.5 延时模块的详细描述及仿真   9.1.6 功能模块Verilog-HDL描述的模块化方法   9.1.7 输入检测模块的详细描述及仿真   9.1.8 计数模块的详细描述   9.1.9 可编程单脉冲发生器的系统仿真
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4432
    • 提供者:宁宁
  1. C51yuanman

    0下载:
  2. C51教程完整版中的配套源码,共20个,包括1,2,3,4,5,7,8,9,10,11,13,14,15,16,17章-C51 Guide complete version of matching source, a total of 20, including 1,2,3,4,5,7,8. Chapter 9,10,11,13,14,15,16,17
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:9815
    • 提供者:linteng
  1. counter

    0下载:
  2. 1.40种记数器图片样式自由选择,并且可以方便地增加记数器图片样式。 2.可以设置计数器显示数字,显示位数,计数器是否隐藏等。 3.页面显示记数和唯一IP记数两种记数模式。 4.可以记录来访客的来源IP地址和来源页面信息,在线人数。 5.每月、每天和每小时的访问数据统计。 6.搜索引擎统计,还可以自己定义搜索引擎。 7.注册用户找回密码功能。 8.多用户计数器,具有管理注册用户功能。 9.系统会自动删除过多的以前的无用的来源和在线记录,保证系统快速稳定运行,还可以
  3. 所属分类:其他数据库

    • 发布日期:2008-10-13
    • 文件大小:420961
    • 提供者:陈华
  1. verilogshejiMiLeJIEMAQI

    2下载:
  2. 用verilog设计密勒解码器 一、题目: 设计一个密勒解码器电路 二、输入信号: 1. DIN:输入数据 2. CLK:频率为2MHz的方波,占空比为50% 3. RESET:复位信号,低有效 三、输入信号说明: 输入数据为串行改进密勒码,每个码元持续时间为8μs,即16个CLK时钟;数据流是由A、B、C三种信号组成; A:前8个时钟保持“1”,接着5个时钟变为“0”,最后3个时钟为“1”。 B:在整个码元持续时间内都没有出现“0”,即连续16个时钟保
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:212699
    • 提供者:mingming
  1. dp.SyntaxHighlighter

    0下载:
  2. javascr ipt 的语法高亮代码 支持: 1. C# 2. CSS 3. C++ 4. Delphi 5. Java 6. Javascr ipt 7. PHP 8. Python 9. Ruby 10. SQL 11. Visual Basic 12. XML / HTML 众多流行语言-javascr ipt code syntax highlighter support : 1. C# 2. CSS 3.
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:53044
    • 提供者:0000
  1. jspnetcode

    0下载:
  2. 《JSP网络编程从基础到实践》的实例代码目录中包含了前10章的所有实例的源代码,实例名称及其所处章节如下: 第1章 JSP技术概述 实例1 第一个JSP页面 第3章 Web开发基础 实例2 HTML与Javascr ipt交互示例 第4章 JSP语法 实例3 JSP程序的基本结构 实例4 简单数据类型综合应用实例 实例5 包装类综合应用实例 实例6 数组应用实例 实例7 字符截取程序示例 实例8 查找字符串程序示例 实例9 Str
  3. 所属分类:书籍源码

    • 发布日期:2008-10-13
    • 文件大小:395085
    • 提供者:xk
  1. netlabconference20060404

    0下载:
  2. 网络实验说明书 目  录 实验一 网络入门和WindowsNT网络 1 实验二 NetWare网络、Internet网络和网线制作实践 2 实验三 TCP/IP网络命令使用 3 实验四 基于路由器的互连 4 实验五 sniffer pro软件使用 11 附录一 网线制作说明 16 附录二 Windows操作系统常用网络命令使用技巧详解 20 附录三 TL-R402M SOHO宽带路由器简易使用手册 29 附录四 ServU-v6.0.0.2安装及简易使用说
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1450314
    • 提供者:aoyutian
« 1 2 ... 13 14 15 16 17 1819 20 21 22 23 ... 50 »
搜珍网 www.dssz.com