CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 8 LED

搜索资源列表

  1. FlashLED

    0下载:
  2. 基于DY-mini80单片机学习板_闪烁小灯设计。三个按键控制8位LED小灯三种显示花样。-DY-mini80-based single-chip learning board design _ flashing lights. Three buttons to control 8 LED lights show the pattern of three.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:107101
    • 提供者:Ruify.Lee
  1. daima

    0下载:
  2. 用VHDL语言设计一个8位加法器: 在八位加法器代码一中:加法器是由两个4位二进制加法器U1和U2组成的8位加法器逻辑电路,其中U1用来装载8位加法器中两个加数的低4位,而U2则用来装载高4位。在设计4位加法器时,定义输入信号量CIN、A、B以及输出信号量S、Cout。定义信号量SINT/AA/BB,将加数A和0并置后赋给AA,加数B和0并置后赋给BB,形成5位二进制数,这是为在做加法时发生溢出所做的处理,然后将加数AA与BB以及进位Cin相加赋给SINT,并将SINT的低4位赋给加数和S输
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:8994
    • 提供者:SAM
  1. c51

    0下载:
  2. LED流水灯 * * 功能:操纵板上8个LED发光管闪烁 这个不是我自己做的,是例子程序-LED water lamp** function: 8 LED control board LED flashes to do this instead of my own is an example program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:7675
    • 提供者:Joe
  1. 8LEDnixietubeelectronclockprocedure

    0下载:
  2. AT89C2051只有15个IO口,要显示8位数码管有点吃力,必需扩展,所以找来74HC595串转并移位寄存器,一个做段显一个做位选,只用三个IO口就解决了8+8的显示,如果要再多一点也不怕,不过2051只有2K的存贮空间,也限制了显示位数。DS1302占用3个IO,这样一个P1只用了6个IO,还有两个空着,准备设置一个LED感应自动开关和一个DS18B20,如果程序大小允许再加入红外摇控,把LED显示做大一点,就是一款实用的电子钟。-AT89C2051 only then 15 IO mout
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:12658
    • 提供者:莫伦伟
  1. PIC16F628_RGB_LED_code

    0下载:
  2. PIC16F628 Serial 8 RGB LED Controller]This code is for a simple RGB LED controller for 8 LEDs using a PIC16F628. It is controlled by sending 3 bytes to the internal USART for the red, green, and blue color channels. The operation is very simple and s
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:95509
    • 提供者:Yak
  1. led1(11-1)

    0下载:
  2. DSPF2812学习程序: SPI程序,通过SPI操作8个LED数码管,显示数字1~8,适于初学者学习-DSPF2812 learning process: SPI program, through the SPI operation 8 LED digital tube, showing the number 1 to 8, suitable for beginners to learn
  3. 所属分类:DSP program

    • 发布日期:2017-04-06
    • 文件大小:303077
    • 提供者:panda
  1. final_3

    0下载:
  2. 3. 對於按鍵輸入密碼鎖,假設reset後,8個LED全亮,而且使用sw1、sw2、 sw3三個,那麼sw2-> sw1-> sw3-> sw1時,表示正確開鎖,會令8個LED全暗。-3. For the key to enter a password lock, assuming that reset after eight full-bright LED, and the use of sw1, sw2, sw3 3, then sw2-> sw1-> sw3-
  3. 所属分类:SCM

    • 发布日期:2017-05-03
    • 文件大小:661659
    • 提供者:samaria
  1. final_4

    0下载:
  2. 4. 對於按鍵輸入密碼鎖,假設reset後,8個LED全亮,而且使用sw1、sw2 二個,那麼sw2-> sw1-> sw1-> sw2時,表示正確開鎖,會令8個LED全暗。-4. For the key to enter a password lock, assuming that reset after eight full-bright LED, and the use of sw1, sw2 two, then sw2-> sw1-> sw1-> sw
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:635102
    • 提供者:samaria
  1. LEDs

    0下载:
  2. spartan3e led fpga labview 8.6
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:97858
    • 提供者:unu
  1. swra305

    0下载:
  2. CC1110和CC2510的LED灯远程控制程序,本设计建立在CC1110和TPS40211基础之上。-AN080-- LED Lighting with Remote Control using CC2510 and CC1110 This note describes a LED lighting design based on CC2510 and TPS40211. CC2510 is 2.4GHz System-on-Chip Low Power RF Transceiver wh
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-07
    • 文件大小:1885297
    • 提供者:王亮
  1. RS23(uart)-WiFi

    3下载:
  2. 串口- 无线局域网wifi模块 Wi-Fi(wlan 无线局域网)作为当今无线领域最为热门的一个技术, 已经应用到各行各业中。它使用TCP标准通讯,技术成熟可靠,传输速率快,各种配套的网络设备十分丰富, 使用者接受程度最高, 全球免费使用,是区域无线通讯的首选方案。 SOC芯片,性价比极高,质量稳定,内置WiFi协议栈和串口传输协议,接口信号5V、地、RX 、TX四线连接; ◆ 模块简洁、体积小,22*60*5 mm; ◆ 用户接口开发简单快捷,串口驱动
  3. 所属分类:SCM

    • 发布日期:2015-07-07
    • 文件大小:806690
    • 提供者:陈坚胜
  1. LED_Code

    0下载:
  2. LED 段代码生成器源代码, 此代码可以生成面向51机,AVR机的8字形LED显示程序-LED segment code generator source code, this code can be generated for the 51 machines, AVR machine eight-shaped LED display program
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:49861
    • 提供者:guoj
  1. LED

    0下载:
  2. LED驱动程序,一个8乘8的LED显示驱动程序-LED Driver
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:824
    • 提供者:liu
  1. PWM_LED_brightness

    0下载:
  2. PWM 控制 LED 灯渐亮渐灭程序,利用定时器控制产生占空比可变的 PWM波 按K1,PWM值增加,则占空比减小,LED 灯渐暗。 按K2,PWM值减小,则占空比增加,LED 灯渐亮。 当PWM值增加到最大值或减小到最小值时,蜂鸣器将报警 资源:p0口,8路指示灯。p1.4,p1.5亮度控制按键(端口按键)p3.7小喇叭报警 -PWM control of LED lights getting brighter gradually eliminate programs, usin
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:3801
    • 提供者:王渊博
  1. led

    0下载:
  2. 基于优龙fs2410的led驱动源代码,其内核版本为fs2410_2.6.8-Based on the excellent Dragon fs2410 driver source code for the led, its kernel version fs2410_2.6.8
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-06
    • 文件大小:1295
    • 提供者:kevin
  1. rotate_led_src

    0下载:
  2. 利用旋转开关控制8个LED循环点亮方向,包括旋转开关(消抖,辨别方向)和 LED循环点亮模块。使用emacs+iverilog开发,内附gtkwave仿真截屏。-The use of rotary switch control 8 LED cycle light directions, including the rotary switch (Consumer shake, a sense of direction) and the LED light module recycling. Use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:231150
    • 提供者:孙斌
  1. LED

    0下载:
  2. 此程序用于8051f020开发板上的8个发光二极管,正常情况下应轮流点亮。-This procedure is used 8051f020 Development Board of the eight light-emitting diodes, under normal circumstances shall be held alternately lit.
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:1055
    • 提供者:qinxunhui
  1. LM3SLib_SSI_Dynamic_7-SEG-LED

    0下载:
  2. LM3S系列SSI例程:扫描8位动态数码管 LM3S系列SSI例程:扫描8位动态数码管-LM3S Series SSI routine: Scanning an 8-bit dynamic digital tube LM3S Series SSI routine: Scanning an 8-bit dynamic digital tube
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:25945
    • 提供者:your name
  1. Flow_Led

    0下载:
  2. 流水灯的设计,使8个LED灯 循环点亮。移动方式可以在模块里面修改-Water lamp design, lit 8 LED lights cycle. Moves inside the module can be modified
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-05
    • 文件大小:3312
    • 提供者:郝春贺
  1. 164DLED

    0下载:
  2. 这个是我写好的MSP430F149通过74hc164芯片连接8个LED灯显示出相应的8位数字的源代码.-This is my MSP430F149 written by 74hc164 chip connection 8 LED lights show the corresponding 8-bit digital source.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:17898
    • 提供者:吴明
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 50 »
搜珍网 www.dssz.com