CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 8 LED

搜索资源列表

  1. LED

    0下载:
  2. 此程序是TMS320F28335的GPIO口的测试程序,外接8位LED灯,实现循环流水功能,测试已经通过。-This program is TMS320F28335 GPIO port testing procedures, external 8 LED lights to achieve recirculating function test has been passed.
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:446536
    • 提供者:王恩享
  1. 8-led

    0下载:
  2. 8位数码显示管, 仅供学习交流用,测试阶段-8 digital display tube, only to learn to communicate in the test phase
  3. 所属分类:SCM

    • 发布日期:2017-04-25
    • 文件大小:13321
    • 提供者:和星
  1. 8-led-dxp

    0下载:
  2. 8位数码管显示,采用595级联的方式,具有多种移动的选择,能够时时刷新,利用红外的传感器。-8 digital tube display, using 595 cascaded manner, with a variety of mobile choice, can always refresh, using infrared sensor.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:374611
    • 提供者:henrysirius
  1. NRF24L01-LED-commissioning

    0下载:
  2. NRF24L01+之LED调试篇:用51单片机P0口的8个LED来显示调试NRF24L01到成功进行数据通讯-NRF24L01+ The LED debugging articles: P0 port 51 microcontroller with 8 LED to indicate the successful commissioning NRF24L01 data communication
  3. 所属分类:SCM

    • 发布日期:2017-05-03
    • 文件大小:612996
    • 提供者:李木子
  1. 8-LED-lights-around-back-and-forth

    0下载:
  2. 8只LED左右来回点亮,适合初学者,基于8051+Proteus仿真-Back and forth about eight LED lights, suitable for beginners, based on 8051+ Proteus simulation
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:25008
    • 提供者:liujian
  1. 8-led-lights

    0下载:
  2. 基于keil软件的8位led灯左移的基本程序-Keil software based on the basic program of eight led lights to the left of
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-15
    • 文件大小:7305
    • 提供者:杜冲
  1. LED

    0下载:
  2. 流水LED灯控制,STC89C52RC;控制8个LED灯顺序循环点亮。-Water LED light control, STC89C52RC;control 8 LED lights lit loop sequence.
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:13031
    • 提供者:吴鹏
  1. led-shake-clock

    0下载:
  2. 摇摆led时钟。用8个led灯珠显示时间,音圈电机组起到摇摆作用。利用视觉残留显示时间。-Swing led clock. With 8 led lamp beads show time, the voice coil motor group plays swing role. Use visual residue display time.
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:1984
    • 提供者:ron
  1. led

    0下载:
  2. 基于DE2—115的跑马灯试验例程 8个灯来回闪烁-8 LED lights flashing cycle
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:299034
    • 提供者:林语
  1. 8-keys-contrl-8-lED

    1下载:
  2. 8个独立按键分别控制8个不同LED灯的工程文件-8 independent control keys are eight different LED lights project file
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-04
    • 文件大小:22965
    • 提供者:刘哲
  1. LED8_Run

    0下载:
  2. 跑马灯的实现,8个LED灯,适用于MSP430F149等之类的单片机(Marquee realization, 8 LED lights, suitable for MSP430F149, SCM)
  3. 所属分类:嵌入式/单片机编程

  1. LED灯 跑马灯

    0下载:
  2. 8位松瀚单片机,LED跑马灯程序入门,程序结构中断模板(8 Songhan MCU, LED marquee program entry, interrupt program structure template)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-26
    • 文件大小:38912
    • 提供者:ample
  1. VisionShow3.8(中文操作软件)

    0下载:
  2. 一个LED控制测试软件,可以编辑led样式上传到大屏上(A LED control test software, you can edit led style upload to the big screen)
  3. 所属分类:Windows编程

    • 发布日期:2017-12-30
    • 文件大小:1806336
    • 提供者:H童言无忌
  1. LED点阵显示数字

    0下载:
  2. 通过stc52单片机连接8*8LED点阵显示简单的数字(Connect through the stc52 microcontroller, 8*8LED dot matrix display simple numbers)
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2017-12-24
    • 文件大小:7168
    • 提供者:tzh123
  1. Exp302

    0下载:
  2. 1、 8个LED按流水方式显示,即LED1亮,其他LED灭;然后LED2亮,其他LED灭;以此类推。重复进行以上操作。 2、 当LED2、LED4、LED6、LED8亮时,蜂鸣器响;否则蜂鸣器不响。 3、 检测KEY1按键,如果KEY1按下,当前LED、蜂鸣器操作状态保持不变;否则,继续执行1、2控制策略。(1 , 8 LED are displayed by water flow, that is, LED1 is bright, other LED is destroyed; then
  3. 所属分类:单片机开发

    • 发布日期:2018-01-04
    • 文件大小:70656
    • 提供者:微光野子
  1. 8.2 LED控制VHDL程序与仿真 2004.8修改

    0下载:
  2. 8.2 LED控制VHDL程序与仿真 ,FPGA驱动LED静态显示,功能:译码输出模块,LED为共阳接法(8.2 LED control VHDL program and simulation)
  3. 所属分类:其他

    • 发布日期:2018-04-29
    • 文件大小:5120
    • 提供者:少年高飞
  1. 11

    0下载:
  2. 基于C语言与MSP430F5529实现8个LED循环点亮(8-LED cycle light based on C language and MSP430F5529)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-04-30
    • 文件大小:21504
    • 提供者:预见未来
  1. 8个按键控制8个LED灯

    1下载:
  2. 实现按键控制led灯,包括线路连接方法,很实用普中单片机开发板。(Achieve button control LED lights, including line connection method, very practical microcontroller development board.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2020-06-06
    • 文件大小:15360
    • 提供者:小蝌蚪1
  1. 7

    1下载:
  2. 设计两台单片机系统间的串行通信 (1)甲方P1口连接8个LED灯; (2)乙方经串行通信输出数据至甲方,甲方根据所接收的数据,在8个LED灯实现流水灯显示; (3)需采用串行口方式1及中断方式进行数据的发送和接收。(Design of Serial Communication between Two Single Chip Microcomputer Systems (1) Party A's P1 port is connected with 8 LED lights; (2) Pa
  3. 所属分类:其他

    • 发布日期:2020-06-22
    • 文件大小:13312
    • 提供者:林~
  1. LED计算器-完美版-最新修改

    1下载:
  2. 基于51设计的8位数码管计算器,能实现加减乘除取余根号平方等功能,另外还支持删除操作,这个程序里还有一个亮点就是采用了一个高级5x5键盘设计,支持长按短按和连续按功能,非常值得大家去学习!(Based on the 51-designed 8-digit digital tube calculator, it can realize the functions of addition, subtraction, multiplication and division, and the remai
  3. 所属分类:其他

    • 发布日期:2021-03-16
    • 文件大小:130048
    • 提供者:feixiang~~~~~
« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com