CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ADC Verilog

搜索资源列表

  1. sample8

    0下载:
  2. 运行在FPGA上的Verilog程序,实现对ADC的控制。在控制模块提供的时钟及控制信号下工作,完成模拟信号的量化和编码。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:300036
    • 提供者:叶开
  1. ADControl

    0下载:
  2. 此程序为Verilog控制ADC的全部程序,已检验可以应用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:140211
    • 提供者:Johonson
  1. ADCtest

    0下载:
  2. 利用Verilog HDL对AD7705进行控制ADC采样,实验室师兄的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:590128
    • 提供者:ticklay
  1. CPLD读取ADS7886

    2下载:
  2. CPLD读取Ti串行ADC芯片ADSL7886的Verilog代码
  3. 所属分类:VHDL编程

    • 发布日期:2009-02-18
    • 文件大小:709
    • 提供者:agedgm
  1. ADS8328

    1下载:
  2. 高速精密ADC,TI公司的ADS8328的FPGA控制程序,使用verilog语言-High-speed precision ADC, TI s ADS8328 control program the FPGA using verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1435
    • 提供者:惊堂木
  1. adc_verilog

    0下载:
  2. 用verilog编写的ADC控制接口,只需根据具体ADC器件的时序图修改代码就可运行。-ADC prepared with verilog control interface, just depending on the ADC timing diagram of the device can modify the code to run.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:117169
    • 提供者:yhb
  1. ADC0809

    0下载:
  2. ADC0809为8位AD,程序为利用FPGA实现ADC0809对于信号的模数转换。-ADC0809 8-bit AD, procedures for the use of FPGA implementation ADC0809 analog to digital conversion for the signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:349490
    • 提供者:stt
  1. source_file

    0下载:
  2. 图像传感器数字控制模块,verilog编写,内涵ADC接口,FPGA验证通过。-image sensor digital controller module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:14138
    • 提供者:引文
  1. ADCcaiyang

    0下载:
  2. 用Verilog HDL实现ADC采样。-Stepper motor control using Verilog HDL. Can the intelligent control speed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:2228472
    • 提供者:Frank Chen
  1. Cont_THS1207

    1下载:
  2. FPGA控制THS1207多通道ADC的verilog源代码-FPGA control THS1207 multi-channel ADC' s verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1728790
    • 提供者:朱健
  1. 01_MODEL_FIXED

    0下载:
  2. FPGA控制时钟以及数据的传输相位调节,可以很方便地进行ADC等高速接口的动态相位调整;(FPGA control clock and data transmission phase adjustment, can be easily carried out ADC and other high-speed interface dynamic phase adjustment;)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-21
    • 文件大小:6144
    • 提供者:想简单_lk
  1. cdce_72010

    0下载:
  2. cdce72010 verilog code
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:2048
    • 提供者:smankch14
  1. ADS8329

    3下载:
  2. ADC芯片ADS8329数据采集的verilog代码,已经用在工程中,没问题。(ADC chip ADS8329 data acquisition Verilog code, has been used in the project, no problem.)
  3. 所属分类:其他

    • 发布日期:2017-12-27
    • 文件大小:1024
    • 提供者:麦子名兜
  1. get_data

    0下载:
  2. 通过使用线性序列机用来对ADC进行设定,此程序比较适合使用Verilog的初学者。非常简洁明了。(By using linear sequence machine to set the ADC, this program is more suitable for beginners using Verilog. Very concise and clear.)
  3. 所属分类:其他

    • 发布日期:
    • 文件大小:765952
    • 提供者:Pesudo
  1. 用verilog编写的sigma-delta adc例子

    1下载:
  2. 累加器实现艾哈空间哈卡哈尽快啊哈卡哈卡快捷回复哈哈哈看(Accumulator implementation)
  3. 所属分类:其他

  1. ad7606

    1下载:
  2. AD7606采集代码,用于verilog 驱动 AD7606 adc SPI 串口方式(AD7606 acquisition code, used for Verilog drive AD7606 ADC SPI serial mode)
  3. 所属分类:VHDL/FPGA/Verilog

  1. adc

    1下载:
  2. 使用verilog 硬件描述语言编写的ad采样模块,希望对大家有用。(Using Verilog hardware descr iption language written in AD sampling module, I hope useful for everyone)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-04
    • 文件大小:6785024
    • 提供者:ET@AF
  1. ADC0804

    0下载:
  2. 控制ADC0804的verilog 代码,cpld/fpga都可以使用,用数码管显示ADC采集的二进制数据。(Control ADC0804 verilog code, cpld / fpga can be used to display the ADC digital tube with the binary data collected.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1024
    • 提供者:w74177
  1. XADC

    2下载:
  2. xilinx verilog FPGA驱动AD9613 数据采集DEMO程序(Xilinx Verilog FPGA drives AD9613 data acquisition DEMO program.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:793600
    • 提供者:amzhy8
  1. test_ADC

    1下载:
  2. verilog 数模转换程序,包括AD与DA,AD能够对于波形的数值进行输出,使用的是ego1开发板(transition of A/D signal)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-01-11
    • 文件大小:12637184
    • 提供者:白珑
« 1 2 34 »
搜珍网 www.dssz.com