CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ADC0809 VHDL

搜索资源列表

  1. FPGA_lizi

    0下载:
  2. FPGA实例,ADC0809,DAC0832接口电路程序,LCD控制VHDL程序与仿真,等实例,验证通过.-FPGA.VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:66189
    • 提供者:suyufeng
  1. l7

    0下载:
  2. 使用VHDL语言编写的简易数字存储示波器,用MAX+PlusII仿真验证。VHDL编写了采样、存储写、存储读和显示4个模块。采样使用ADC0809,存储器使用6264,显示使用DAC0832。-The design of the chip as a high-speed signal ADC0809 the A / D converter, SRAM6264 memory for data storage after sampling, DAC0832 chip as a signal of D
  3. 所属分类:assembly language

    • 发布日期:2017-04-01
    • 文件大小:148819
    • 提供者:统一
  1. adc0809

    0下载:
  2. PFGA控制adc0809的vhdl源码-PFGA control adc0809 the vhdl source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1125
    • 提供者:liuyuting
  1. test4

    0下载:
  2. 用 vhdl 语言实现的 32个 条目的 ARP-using vhdl language to realize ARP protocol with 32 entries
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1373
    • 提供者:zhouli
  1. VerilogProjects

    1下载:
  2. 在quartus II环境下用Verilog实现了8255, 8253, 8259, 8250, DAC0832, ADC0809等微机接口芯片,硬件设计实验课的作品,有些芯片的功能有所简化,但最基本的功能已实现,有完整的时序仿真波形-In quartus II environment achieved with Verilog 8255, 8253, 8259, 8250, DAC0832, ADC0809 and other computer interface chip, hardware
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-03
    • 文件大小:5405440
    • 提供者:一招鲜
  1. a6

    0下载:
  2. ADC0809 VHDL控制程序 ADC0809 VHDL控制程序-ADC0809 VHDL control procedures ADC0809 VHDL control procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:5004
    • 提供者:刘志杰
  1. FPGA

    0下载:
  2. ADC0809 VHDL 控制程序的具体内容-adc0809 VHDL Control the specific content of the program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:414685
    • 提供者:傅强
  1. adc0809

    0下载:
  2. VHDL实现AD采样控制,程序简单,调试方便-AD sampling control VHDL implementation, the program is simple and convenient debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:607
    • 提供者:大哥哥
  1. ADCINT

    0下载:
  2. EDA的ADC0809的应用,使用VHDL编码 实现模数转换功能,方法简单易行.-The ADC0809 EDA applications, the use of VHDL Coding analog-digital conversion function is simple and easy.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:463857
    • 提供者:寒星
  1. AD0809-VHDL

    0下载:
  2. ADC0809模数转换器 VHDL 代码,用数码管显示值-ADC0809 ADC VHDL code, with digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:980
    • 提供者:zcp2403
  1. ADC

    0下载:
  2. AD转换是现在工业中应用十分广泛的一种技术,它可以实现模拟量向数字量的转换,fpga这一快速的器件将有利于数据的处理。-adc0809 vhdl fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:36787
    • 提供者:
  1. ADC0809aDAC0832-VHDL

    0下载:
  2. ADC0809和DAC0832的VHDL程序,挺有用的哦~-ADC0809 and DAC0832 VHDL program, quite used oh ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:7061
    • 提供者:
  1. ADC0809

    0下载:
  2. 应用VHDL语言实现了模数转换,利用的是adc0809-Application VHDL language realized the modulus conversion,Taking advantage of the adc0809
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-16
    • 文件大小:180164
    • 提供者:徐海英
  1. ADC0809-control-module-code-of-VHDL

    0下载:
  2. 此为基于FPGA的直流电动机伺服系统的设计,具体为ADC0809控制模块的VHDL代码-This is based on FPGA for dc servo system of the design, concrete for ADC0809 control module code of VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:9802
    • 提供者:黄平
  1. 2

    0下载:
  2. ADC0809 VHDL控制程序,实现简单-ADC 0809 VHDL control procedures, simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4326
    • 提供者:qiming
  1. 8.4-ADC0809-

    0下载:
  2. 基于VHDL语言,实现对ADC0809简单控制,ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系 --统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。 -Based on VHDL ADC0809 simple control, ADC0809 no internal clock, an external clock signal of 10KHz ~ 1290Hz here by the Departm
  3. 所属分类:MPI

    • 发布日期:2017-12-05
    • 文件大小:4156
    • 提供者:jack
  1. ADC0809-data-collect-and-display

    0下载:
  2. 采用VHDL设计ADC0809数据采集及显示体统, 顶层模块主要是将各个功能模块组合在一起,完成整体的功能。各个子模块作为元件,在顶层模块中对各个子模块例化,传递中间信号。-To VHDL design ADC0809 data acquisition and display the decency, top-level module is the various functional modules together to complete the overall functionality.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:135865
    • 提供者:Weimiao Cai
  1. adc0809

    0下载:
  2. 这是一个使用vhdl语言编写的fpga程序,它能够把模拟的电压信号通过数码管显示出来。-This is a use of language vhdl fpga program it to analog voltage signal by the digital display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:391580
    • 提供者:yubaoming
  1. ADC0809

    0下载:
  2. 次VHDL代码实现FPGA与ADC0809的通信,通过ADC0809的模数转换功能转换为数字信号进入FPGA处理-Times FPGA VHDL code to communicate with the ADC0809, ADC0809 analog-to-digital conversion by function into the digital signal processing into the FPGA
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-15
    • 文件大小:6907
    • 提供者:1990
  1. adc0809

    0下载:
  2. ADC0809驱动,VHDL语言描述,开发环境QUARTUS-ADC0809 driver, VHDL language, development environment QUARTUSII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:637
    • 提供者:
« 1 2 34 5 »
搜珍网 www.dssz.com