CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - ADC0809 VHDL

搜索资源列表

  1. State_Machine

    0下载:
  2. 状态机的VHDL实现,在quartus-ii7.2上测试通过,文件包括米利状态机,摩尔状态机,ADC0809的状态机实现,序列检测器和定时去毛刺的状态机实现。-State machine code in VHDL,successfully tested in quartus-ii7.2,the file contains mealy state machine,moore state machine,ADC 0809 and sequence detector achieved in state
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1551028
    • 提供者:baoguocheng
  1. AD

    0下载:
  2. 有限状态机的设计——0809 A/D转换实验-VHDL for ADC0809
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:723
    • 提供者:lyon
  1. AD_LED

    0下载:
  2. 基于VHDL语言的数码管动态扫描程序,内含ADC0809模数转换器示例-Digital tube dynamic scanning procedure based on the VHDL language , containing ADC0809 ADC sample
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:248862
    • 提供者:licaho
  1. library-ieee-2

    0下载:
  2. vhdl语言环境编程控制adc0809芯片进行采样控制的源文件,其中包含少许说明-vhdl adc0809
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-11
    • 文件大小:3101
    • 提供者:莉莉
  1. 8.4

    0下载:
  2. 功能:基于VHDL语言,实现对ADC0809简单控制 --说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系 --统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。 -: Based on the VHDL language, ADC0809 simple control- Descr iption: ADC0809 no internal clock, an external clock sign
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:4116
    • 提供者:陈伟杰
« 1 2 3 4 5»
搜珍网 www.dssz.com