CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - AT指令集

搜索资源列表

  1. OP_Intro

    0下载:
  2. 一台完全无软件的计算机系统称为裸机,即便其性能再强,相对于用户来讲,如果要面对计算机的指令集、存储组织、I/O总线结构的编程则是十分困难的。对于一般程序员也并不想涉足硬件编程的种种具体细节,而希望针对数据结构抽象地使用硬件。如果我们在裸机上覆盖一层I/O设备管理软件,用户便可以利用这层I/O设备管理软件提供给用户的接口来进行数据的输入和输出,那么用户此时看到的计算机是一台功能强大、使用方便的计算机,但实际上,计算机的硬件丝毫没有变化,这样的计算机称为软件扩充的机器,或称软件虚拟机。-comple
  3. 所属分类:TreeView控件

    • 发布日期:2008-10-13
    • 文件大小:74685
    • 提供者:grant
  1. ATmega128L

    0下载:
  2. ATmega128L单片机 数据手册 ATmega128L微控制器,它是采用低功耗COMS工艺生产的基于RISC结构的8位微控制器,是目前AVR系列中功能最强大的单片机。AVR核将32个工作寄存器和丰富的指令集联结在一起,所有的工作寄存器都与ALU直接相连,实现了在一个时钟周期内执行单条指令的同时访问两个独立寄存器的操作,具有良好的性价比。-ATmega128L SCM manual data ATmega128L microcontrollers, it is using low-p
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2912053
    • 提供者:刘天
  1. ITM100_GPRS_AT

    0下载:
  2. SIMCOM的ITM100模块GPRS指令集(AT)列表及实例-SIMCOM of GPRS ITM100 module instruction set (AT) and the list of examples
  3. 所属分类:手机彩信编程

    • 发布日期:2008-10-13
    • 文件大小:925
    • 提供者:wn
  1. At_v8.6

    0下载:
  2. AT V8指令集-AT V8 instruction set
  3. 所属分类:Modem编程

    • 发布日期:2008-10-13
    • 文件大小:754758
    • 提供者:谭靖
  1. arm

    0下载:
  2. arm的汇编指令集,对做嵌入式开发的人来说必备的资料,大家可以下来看看,学习学习-arm instruction set of the compilation of embedded development so people must have the information, we can look at it, learning to learn
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-30
    • 文件大小:177493
    • 提供者:adf
  1. StrongArm-shellcode

    0下载:
  2. 介绍如何在StrongArm下编写shellcode的知识。一开始先介绍了StrongArm的体系结构和指令集,后面给出了几个shellcode的实例。这些实例在HP iPAQ上的Debian Linux上开发,但原理可通用于StrongArm下的其他机型和其他linux版本。-This guide describes how to write shellcode in StrongArm architecture. At first it introduced the StrongArm ar
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:4699
    • 提供者:t2049
  1. atzhilingji

    0下载:
  2. 工业模块at指令集 适用于西门子 wavecom等模块的调试指令快速查找 是gsm网络产品开发必不可少的资料-Industrial module at the instruction set for modules such as Siemens wavecom debug command is a gsm network to quickly find the information essential for product development,
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:168246
    • 提供者:贾永成
  1. cpu

    0下载:
  2. 设计以及基本的CPU,至少包括四个基本单元,控制单元,内部寄存器,ALU和指令集-The purpose of this project is to design a simple CPU (Central Processing Unit). This CPU has basic instruction set, and we will utilize its instruction set to generate a very simple program to verify its perf
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2196060
    • 提供者:mollyma
  1. xtcsie

    0下载:
  2. MOtorala GPRS模块开发手册及AT指令集,是最新版本的()
  3. 所属分类:系统编程

    • 发布日期:2018-01-11
    • 文件大小:1873920
    • 提供者:otmcot
  1. ayswtok

    0下载:
  2. 用vc6 0开发的基于at指令集的读写串口的短信收发程序,主要文件由c写成,便于移植()
  3. 所属分类:串口编程

    • 发布日期:2018-04-19
    • 文件大小:22528
    • 提供者:rjuter
  1. 46765294

    0下载:
  2. 诺基亚手机 AT指令集,可实现短信的收发功能()
  3. 所属分类:Linux/Unix编程

    • 发布日期:2018-04-19
    • 文件大小:1456128
    • 提供者:gnxraitzuctome
  1. urvr

    0下载:
  2. 西门子MC39i模块的AT指令集及其说明,英文版()
  3. 所属分类:通讯编程

    • 发布日期:2018-04-21
    • 文件大小:1719296
    • 提供者:Kentfa
  1. dyesialization

    0下载:
  2. GPRS设备中文AT指令集 这个文档是基于GSM 标准07 07 的,西门子产品可以在没有事先通知的情况下随时进行修改,()
  3. 所属分类:Linux/Unix编程

  1. btckward-communication

    0下载:
  2. 用vc6 0开发的基于at指令集的读写串口的短信收发程序,主要文件由c写成,便于移植()
  3. 所属分类:通讯编程

    • 发布日期:2018-04-30
    • 文件大小:22528
    • 提供者:Lenaywk
  1. cvaluate

    0下载:
  2. MOtorala GPRS模块开发手册及AT指令集,是最新版本的()
  3. 所属分类:Windows编程

    • 发布日期:2018-05-01
    • 文件大小:1846272
    • 提供者:UYfned@68438
  1. regawne-mettod

    0下载:
  2. Wavecom系列GSM模块官方AT指令集参考,()
  3. 所属分类:USB编程

    • 发布日期:2018-05-02
    • 文件大小:2476032
    • 提供者:XAY!06491
  1. 6911055

    0下载:
  2. 西门子MC39i模块的AT指令集及其说明,英文版()
  3. 所属分类:网络

    • 发布日期:2018-05-03
    • 文件大小:1719296
    • 提供者:Sainme
  1. fraghentetion

    0下载:
  2. AT指令集:包含所有gsm modem at指令,()
  3. 所属分类:系统编程

    • 发布日期:2018-05-03
    • 文件大小:2695168
    • 提供者:codeywtz
  1. bytg15

    0下载:
  2. TC35 AT指令集英文手册,开发具有GSM短信功能的产品会用到,()
  3. 所属分类:网络

    • 发布日期:2018-05-03
    • 文件大小:930816
    • 提供者:cev@99989
  1. 蓝牙BT06带底板资料2

    0下载:
  2. 蓝牙BT06带底板资料,包含AT指令集,蓝牙模块技术手册,安卓端和电脑端调试软件
  3. 所属分类:文档资料

« 1 2 ... 5 6 7 8 9 1011 »
搜珍网 www.dssz.com