CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - BCD码

搜索资源列表

  1. tmx

    0下载:
  2. 设计一个8位数字显示的简易频率计。能够测试1Hz~1MHz方正波信号的频率;电路输入的基准时钟为10MHz,测量值以BCD码形式输出;系统有复位键;-Design a simple 8-bit digital display frequency counter. Able to test 1Hz ~ 1MHz Fangzheng Bo signal frequency circuit input reference clock is 10MHz, the measured value in B
  3. 所属分类:assembly language

    • 发布日期:2016-01-25
    • 文件大小:98304
    • 提供者:孔小妹
  1. Counter60min

    0下载:
  2. VHDL语言编写的一个六十进制计数器(用于分钟),一个脉冲输入引脚,一个复位引脚,8个BCD码输出引脚,一个进位输出引脚。与我的其它8个模块配套构成一个数字钟。-A 60 binary counter(for minute) programmed with VHDL language.A pulse input, a reset input, eight BCD code output BCD code, a carry bit output. It is one of my total 9 m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:207690
    • 提供者:chzhsen
  1. BcdToAsc.rar

    0下载:
  2. 将BCD码转化成ASSIC码,在一些实际应用(如话单查询)中很有用。,Transform the BCD to ASSIC. It is important in some usage.
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-08
    • 文件大小:182049
    • 提供者:宝贝心鱼鱼
  1. EMC78

    0下载:
  2. 义隆单片机:二进制数转换为ASCⅡ码 多字节二进制加法 多字节二进制减法 二进制乘法运算 多字节二进制除法 BCD 数转换为二进制数 二进制数转换为BCD 码-Elan SCM: binary code is converted to ASC Ⅱ multi-byte binary addition multi-byte binary subtraction binary multiplication multi-byte binary division BCD number is c
  3. 所属分类:assembly language

    • 发布日期:2017-03-26
    • 文件大小:2475
    • 提供者:郑雄
  1. MUL

    0下载:
  2. 双字节BCD码的乘法 可以实现两个双字节的数相乘-Double-byte code BCD multiplication
  3. 所属分类:assembly language

    • 发布日期:2017-03-29
    • 文件大小:763
    • 提供者:张弓
  1. 3

    0下载:
  2. .用单片机的定时器和计数器设计一个秒表,LED采用BCD码显示,发光二极管亮表示0,暗表示1,从零开始计时,记到99后从头开始,依次循环,时间间隔为900ms,要求采用定时器0工作于工作方式2。-With the design of single-chip timers and counters a stopwatch, LED BCD code display, light emitting diode light 0, dark one, the timing of starting fro
  3. 所属分类:OS Develop

    • 发布日期:2017-03-29
    • 文件大小:55869
    • 提供者:
  1. BCD_adder_4digit

    0下载:
  2. 首先将最大四位的整数转换成BCD码,然后用VHDL设计一个4位BCD码加法器,-BCD_adder_4digit
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:961760
    • 提供者:小乔
  1. DOC_V1.1

    0下载:
  2. 松翰子程序库,@DAA 实现DAA 指令 ADD_BCDINC n 字节压缩BCD 加1 MOV_BCD1W n 字节压缩BCD 左移1 位 ADD_BCDNB n 字节压缩BCD 加法 SUB_BCDNB n 字节无符号压缩BCD 减法 MUL_BCDNB n 字节压缩BCD 乘法 DIV_BCDNB n 字节压缩BCD 除法 CHA_NHEXTOMBCD n 字节的HEX 码转换为m 个字节的压缩BCD 码 CHA_1HEXTO2WBCD 1 字节HEX 转换
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:167063
    • 提供者:向常俊
  1. B_BCD1

    0下载:
  2. 进入MF2K汇编语言开发环境,将二进制转换为BCD码的汇编程序设计方法与程序-Enter MF2K assembly language development environment, will be converted to BCD binary code compiled program design methods and procedures
  3. 所属分类:assembly language

    • 发布日期:2017-04-05
    • 文件大小:983
    • 提供者:lei
  1. Apppublic

    0下载:
  2. 此源码包含了字符串转换BCD码,还有字符串操作-This source contains a string conversion BCD code, there are string operations
  3. 所属分类:TCP/IP Stack

    • 发布日期:2017-04-07
    • 文件大小:17099
    • 提供者:twg
  1. 2006112623122040

    0下载:
  2.  系统设置一个两位BCD码倒计时计数器(计数脉冲1HZ),用于记录各状态持续时间;  因为各状态持续时间不一致,所以上述计数器应置入不同的预置数;  倒计时计数值输出至二个数码管显示;  程序共设置4个进程: ① 进程P1、P2和P3构成两个带有预置数功能的十进制计数器,其中P1和P3分别为个位和十位计数器,P2产生个位向十位的进位信号; ② P4是状态寄存器,控制状态的转换,并输出6盏交通灯的控制信号 -e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1234
    • 提供者:宋勤
  1. DS18B20_3

    0下载:
  2. 功能:本程序主要是读出单个DS18B20芯片转换后的温度值,并把它转换成BCD码,范围(-55℃~99℃)。 -Function: This procedure is to read out a single chip DS18B20 converted temperature value, and put it into a BCD code, range (-55 ℃ ~ 99 ℃).
  3. 所属分类:SCM

    • 发布日期:2017-04-13
    • 文件大小:2136
    • 提供者:bill
  1. IEEEchangeBCDfloat

    0下载:
  2. 标准的四字节IEEE浮点型数据转换为BCD码源代码,通过这个转换程序可以把数据送到数码管上显示数据了,很实用的哦对用汇编语言包来写的同胞-Standard four-byte IEEE floating-point type data into a BCD code source code, through the conversion process can control data sent to the digital display data, it is practical to us
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:2038
    • 提供者:谢苏岚
  1. 8vod

    0下载:
  2. 八路电压表C程序:测得值转换为三位BCD码,最大为5.00V-C voltage meter eight-way process: the measured value is converted to three BCD code, maximum 5.00V
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:1710
    • 提供者:yk
  1. bcd

    0下载:
  2. 单字节十六进制整数转换成单字节BCD码整数 入口条件:待转换的单字节十六进制整数在累加器A中。 出口信息:转换后的BCD码整数(十位和个位)仍在累加器A中,百位在R3中。 影响资源:PSW、A、B、R3 堆栈需求: 2字节-Single-byte hexadecimal integer into BCD code single-byte integer entrance conditions: to be the conversion of single-byte hexade
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:2357
    • 提供者:陈宁
  1. clock

    0下载:
  2. 电子闹钟 clk: 标准时钟信号,本例中,其频率为4Hz; clk_1k: 产生闹铃音、报时音的时钟信号,本例中其频率为1024Hz; mode: 功能控制信号; 为0:计时功能; 为1:闹钟功能; 为2:手动校时功能; turn: 接按键,在手动校时功能时,选择是调整小时,还是分钟; 若长时间按住该键,还可使秒信号清零,用于精确调时; change: 接按键,手动调整时,每按一次,计数器加1; 如果长按,则连续快速加1,用于快速调时和定时; hour,
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:2278
    • 提供者:阿飞
  1. weijiyuanlishumazhuanhuanshiyan

    0下载:
  2. 计算机输入设备输入的信息一般是由ASCII码或BCD码表示的数据或字符,CPU一般均用二进制数进行计算或用其他信息进行处理,处理的结果又必须依照外设的要求变为ASCII码、BCD码或七段显示码等。因此,在应用软件中,各类数制的转换和代码的转换是必不可少的-Computer input device input information is normally provided by ASCII code or BCD code that data or characters, CPU are ge
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-31
    • 文件大小:422400
    • 提供者:gezhenming
  1. langextlib

    0下载:
  2. 十六进制bcd码以及字符串数据处理函数库-Bcd hexadecimal code, as well as string data-processing function library
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:17093
    • 提供者:liujinqiang
  1. simpleclock

    0下载:
  2. 简易数字钟,包括时间显示时分秒各位的校时接口,输出BCD码-Simple digital clock, including the minutes and seconds time display when your school interface, the output BCD code
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-28
    • 文件大小:46075
    • 提供者:李凯
  1. wave_produce_VHDL

    0下载:
  2. --文件名:mine4.vhd。 --功能:实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 --说明: SSS(前三位)和SW信号控制4种常见波形种哪种波形输出。4种波形的频率、 --幅度(基准幅度A)的调节均是通过up、down、set按键和4个BCD码置入器以及一 --个置入档位控制信号(ss)完成的(AMP的调节范围是0~5V,调节
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:10315
    • 提供者:huangsong
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 24 »
搜珍网 www.dssz.com