CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - BCD码

搜索资源列表

  1. ascii2bcd

    0下载:
  2. ASCII码与BCD码压缩变换程序代码-ASCII and transform BCD code compression
  3. 所属分类:JSP源码/Java

    • 发布日期:2008-10-13
    • 文件大小:892
    • 提供者:朝亮
  1. 8870

    0下载:
  2. AT89c2051控制9200b发双音频码用8870解码并将BCD码返回AT89C2051,然后比较的源代码和Hex文件。-AT89c2051 Two-control 9200b audio decoder yards with 8,870 and will return to BCD AT89C2051, then compare the source code and Hex documents.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:17780
    • 提供者:常春
  1. 子程序

    0下载:
  2. 这是常用的pic单片机子程序, 包括BCD码的转化和串行通讯等是PIC初学者理想的学习样板-This is the common pic microcontroller subroutine, including BCD conversion and serial communications beginners PIC is an ideal learning model
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:80515
    • 提供者:高高
  1. anjian

    0下载:
  2. 按键输入模块(key): --可编程延时发生器(数字同步机)的前端输入模块:0-9十个数字键按键输入模块原型 --前端模块:消抖 --对i0-i9十个输入端的两点要求: --(1)输入端要保证一段时间的稳定高电平 --(2)不能同时按下两个或多于两个的键 --后级模块:1、编码;2、可变模计数器 --编码模块:8线-4线(0-8 BCD码) --可变模计数器模块:以编码模块输出的32位BCD码为模值-button input module (key) : -- p
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2816
    • 提供者:汪汪
  1. VHDL范例

    1下载:
  2. 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:42884
    • 提供者:kerty
  1. BCD2HEX互换

    1下载:
  2. 单片机编程的bcd码和hex码的互换,BCD2HEX 例子: c=BCD2HEX(0x255) //255 转成HEX为0xff c=HEX2BCD(0xff) //0xff 转成BCD码为 2-microcontroller programming bcd yards and hex code swap BCD2HEX example : c = BCD2HEX (0x255) / / 255 to HEX conversion c = 0xff HEX2BCD (0xf
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:780
    • 提供者:张天河
  1. 二进制小数转换

    0下载:
  2. 二进制小数转为十进制BCD码的汇编程序,结果保留四位并在七段数码管上输出-decimal to binary decimal BCD compilation procedures, and results in four reservations in paragraph 107 digital output tube
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1551
    • 提供者:段琪炜
  1. bcd_to_binary

    0下载:
  2. ALTERA NIOS处理器实验,QUARTUS下用VHDL编译成处理器,bcd码转bin-Altera NIOS processor experiments QUARTUS using VHDL compiler into processor, code switch bin bcd
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:2558
    • 提供者:秦拣俭
  1. telnumM

    1下载:
  2. BCD码压缩解压缩BCD码用四位二进制数表示一位十进制数-BCD decompress compressed using BCD four binary said a few decimal number
  3. 所属分类:压缩解压

    • 发布日期:2008-10-13
    • 文件大小:11650
    • 提供者:鲁昕
  1. 几个汇编代码code

    0下载:
  2. fx.asm 反序输出 cpc.asm 人机对话 DECHEX.ASM 十进制到十六进制转换 PX.ASN 排序 DISP.ASM 显示非组合BCD码 COUNTA.ASM 统计字符A的个数 GRA.ASM 格雷码 TADD.ASM 加法运算 TMUL.ASM 乘法运算-fx.asm sequence output cpc.asm anti-human-machine dialogue DECHEX.ASM decimal to hexadecimal c
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:17984
    • 提供者:cdl
  1. BCD

    0下载:
  2. 4位BCD码到二进制码的转换器 BCD 码亦称“8421码”即将十进制的数以 8421 的形式展开,十进制是 0~9十个数组成,这十个数每个数都有自己的 8421 码。一个 4 位BCD码转换为BCD 码,结果采用 5 位 LED 显示。由于4位BCD码需要16位零一信号输入和多位输出,故没有硬件测试,只有软件仿真。-4 BCD code to binary code converter BCD code known as " 8421 yards" is about th
  3. 所属分类:Driver Develop

    • 发布日期:2017-03-27
    • 文件大小:685
    • 提供者:fdf
  1. BCD

    0下载:
  2. 十进制和BCD码以及二进制之间的相互转换,多种算法实现多种功能-Conversion between decimal and binary to BCD and between, a variety of algorithms to achieve a variety of functions
  3. 所属分类:VxWorks

    • 发布日期:2017-04-05
    • 文件大小:3332
    • 提供者:王浆
  1. BCD-CODE

    0下载:
  2. 基于FPGA的二进制转BCD码程序,非常适合初级菜鸟学习使用入门程序,欢迎大家下载学习-FPGA binary code to BCD based procedures, very suitable for learning to use primary rookie entry procedures, are welcome to download the learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:43922
    • 提供者:zhang yang
  1. Binary-BCD-code

    0下载:
  2. 用Verilog语言写的二进制转BCD码,可以作为课堂教学实验或者课后作业,有完整工程代码-Written in Verilog language transfer binary BCD code, can be used as a teaching experiment or the homework, a complete project code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:184243
    • 提供者:ww
  1. BCD-Conversion-Functions

    0下载:
  2. BCD_I子函数:将输入的BCD(拨码开关)值转换成整数。 I_BCD子函数:将输入的整数值转换成BCD码的形式输出出去。备注:用keil编写的-BCD_I Functions: input BCD (DIP switch) value is converted to an integer. I_BCD Functions: The integer value entered into a form of BCD code output
  3. 所属分类:SCM

    • 发布日期:2017-05-01
    • 文件大小:21119
    • 提供者:山河
  1. BCD

    0下载:
  2. 用汇编语言实现3位BCD码计算器功能,机器语言,存在缺陷,内存不够。-3 BCD code calculator function in assembly language, machine language, there are defects, not enough memory.
  3. 所属分类:Java Develop

    • 发布日期:2017-04-13
    • 文件大小:2111
    • 提供者:os0130
  1. Four-digits-input-to-BCD-

    0下载:
  2. 将输入的四位数字转换为BCD码(压缩的和非压缩的)。-Four digits input to BCD (compressed and uncompressed).
  3. 所属分类:assembly language

    • 发布日期:2017-04-14
    • 文件大小:2726
    • 提供者:Jing Xin
  1. 8位二进制转bcd码

    0下载:
  2. 八进制转换码 硬件描述语言,通过测试,能用(b to bcd code very easy and readily to understand)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:659456
    • 提供者:ougan
  1. 二进制码转化为BCD码源程序

    0下载:
  2. 二进制码转化为BCD码源程序,VHDL在FPGA验证(Conversion of binary code into BCD code source program)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:10240
    • 提供者:zhanglei123456
  1. BCD码转化为七段码源程序

    0下载:
  2. BCD码转化为七段码源程序。VHDL在FPGA验证(Conversion of BCD code into seven segment code source program)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:9216
    • 提供者:zhanglei123456
« 1 2 3 4 56 7 8 9 10 ... 24 »
搜珍网 www.dssz.com