CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - CPLD USB

搜索资源列表

  1. ad_convert

    0下载:
  2. 用cpld控制时序通过usb传送数据到pc机的vhdl源码,用于一款心电图机。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:203670
    • 提供者:聂永波
  1. ATmega128

    0下载:
  2. ATmega128实验板 简要介绍: 主要芯片: CPU:ATmega128L SRAM:SR61L256BS-8 CPLD:XILINX XC95144XL SFLASH:AT45DB081B ETHERNET:CS8900A USB:PDIUSBD12 LCD:122x32 LMC62_095_M POWER:LM2596S-3.3 RS232:MAX3232 软件:RS232,SRAM,CPLD调试通过
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:9936705
    • 提供者:yhui
  1. CPLD_DESIGN

    0下载:
  2. 基于CPLD的数据采集系统; 基于CPLD的USB接口电路设计。-CPLD DESIGN
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:567044
    • 提供者:zhinvxing1
  1. 51kaifa

    0下载:
  2. 51单片机开发宝典,C语言和汇编语言交叉开展.包括原理图和相应的程序,值得一看! 目录 第1部分 51系列单片机基础 第1章 51系列单片机概述 第2章 51系列单片机基本结构 第3章 51系列单片机的指令系统 第4章 51系列单片机的定时/计数器 第5章 51系列单片机中断系统 第6章 51系列单片机的串行接口 第2部分 51系列单片机程序设计 第7章 汇编语言程序设计 第8章 单片机C语言程序设计基础 第9章 C51的数据结构与函数 第10
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-20
    • 文件大小:6094807
    • 提供者:huangyunfa
  1. Quartus_II_Project

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:477425
    • 提供者:陶宇
  1. cpld1

    0下载:
  2. 通过DSP控制CPLD与网口,灯及USB接口芯片的通讯与指示-CPLD and DSP control network through the mouth, lights and USB interface chip communication and instructions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:37663
    • 提供者:吴生
  1. LabVIEW-data-acquisition-system

    1下载:
  2. 基于LabVIEW的多通道数据采集系统的设计,江苏科技大学硕士毕业论文。全文分为2部分第一部分数据采集硬件的设计中,采用DSP 作为采集卡的CPU,利用USB 接口实现了采集卡与PC 机的通信,整个外围逻辑时序部分用CPLD 控制。第二部分数据管理与分析,作者利用LabVIEW 编写了基于Windows XP的上位机应用程序以及上位机与采集卡之间的通信程序;利用LabSQL 工具包建立了ACCESS 数据库,实现对采集到的数据的存储,并可以查询历史数据。同时系统可以生成报表以及可以对所需要的数据
  3. 所属分类:DSP program

    • 发布日期:2017-03-23
    • 文件大小:784764
    • 提供者:吴小平
  1. Linux-driver-development2

    0下载:
  2. 作者:华清远见嵌入式学院。《Linux设备驱动开发详解》(08&09年度畅销榜TOP50)第2章、驱动设计的硬件基础。本章讲解底层驱动工程师必备的硬件基础,给出了嵌入式系统硬件原理及分析方法的全景视图。2.1节讲解微控制器、微处理器、数字信号处理器以及应用于特定领域的处理器各自的特点。2.2节对嵌入式系统中所使用的各类存储器与CPU的接口、应用领域及特点进行了详细讲解。2.3节讲解常见的外设接口与总线的工作方式,包括串口、I2C、USB、以太网接口、ISA、PCI和cPCI等。嵌入式系统硬件电路
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-03-29
    • 文件大小:866709
    • 提供者:华清远见
  1. QUARTUS-II

    0下载:
  2. 本文介绍了quartus ii 如何用usb下载程序,如何设置cpld管脚-This article describes how to use quartus ii usb download, how to set cpld pin
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:241718
    • 提供者:白银河
  1. EMP240USB

    0下载:
  2. 1、电路图-----AD6.7 2、CPLD-------QUARTUS7.0 3、运行CDM_Setup.exe安装FT245的驱动程序 4、插上USB线,电路上电后,会提示自动安装驱动程序,如果安装成功,可以在设备管理器中看到Altera USB-Blaster 4、运行MProg3.0_Setup.exe,将altera.ept文件烧入FT245RL的内部EEPROM(FT245BM是在外部94LC46中) 5、打开QUARTUS,就可以在下载器中发现USB-0(
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2274161
    • 提供者:
  1. 51USB

    0下载:
  2. USB51开发板的原理图和实验指导。这是一个综合了51单片机和USB及CPLD综合开发板。-The USB51 development board principle chart and experiment guidance. This is a comprehensive 51 SCM and USB and CPLD comprehensive development board.
  3. 所属分类:Project Design

    • 发布日期:2017-05-16
    • 文件大小:4132876
    • 提供者:guxiha
  1. USB_BLASTER_code

    0下载:
  2. 用于制作ALTERA FPGA的下载线(USB_BLASTER)的CPLD逻辑代码(VHDL代码)。-USB BLASTER CODE DDFP SDFA SDE DSF DOD DOE DOE DOIII DEG SDAF, FSGR SE.
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:56597
    • 提供者:liyshen
  1. CPLDUSB20

    0下载:
  2. CPLD在USB20接口中的应用,usb大家都用过吧,不用多说了吧。-CPLD in USB20 interface, the application usb everyone it has been used, among other things, that instead.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:9648
    • 提供者:abeled
  1. MYFX2

    0下载:
  2. usb cy7c68013开发板中CPLD的源代码-USB2.0-128P to restore the I2C settings dev_io
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-08
    • 文件大小:1597
    • 提供者:orecast
  1. CPLDUSB20

    0下载:
  2. CPLD在USB20接口中的应用,usb大家都用过吧,不用多说了吧。-CPLD in USB20 interface, the application usb everyone it has been used, among other things, that instead.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-26
    • 文件大小:9688
    • 提供者:tinMay
  1. TFT LCD显示实验

    1下载:
  2. 硬件资源: 1,DS0(连接在PF9) 2,串口1(波特率:115200,PA9/PA10连接在板载USB转串口芯片CH340上面) 3,ALIENTEK 2.8/3.5/4.3/7寸TFTLCD模块(通过FSMC驱动,FSMC_NE4接LCD片选/A6接RS) 实验现象: 本实验利用探索者STM32开发板连接ALIENTEK TFTLCD模块(除CPLD版本7寸屏模块外,其余所有ALIENTEK的 LCD模块都可以支持),实现TFTLCD模块的显示,下载成功后,
  3. 所属分类:嵌入式Linux

    • 发布日期:2018-05-02
    • 文件大小:4073472
    • 提供者:ck_xiaofei
  1. programmator xds100

    0下载:
  2. programmator xds 100 emulator, shemi ,hex , board,for diy home made, When using this method, only the hardware system and CPLD program of the DSP emulator need to be designed, and the USB driver is developed using the original program provided by TI,
  3. 所属分类:调试

    • 发布日期:2021-05-09
    • 文件大小:10313132
    • 提供者:gulv110668
  1. programmator xds510pci

    0下载:
  2. When using this method, only the hardware system and CPLD program of the DSP emulator need to be designed, and the USB driver is developed using the original program provided by TI, which makes the development of the emulator very simple and easy.
  3. 所属分类:调试

    • 发布日期:2021-05-09
    • 文件大小:686978
    • 提供者:gulv110668
  1. programmator arm

    0下载:
  2. When using this method, only the hardware system and CPLD program of the DSP emulator need to be designed, and the USB driver is developed using the original program provided by TI, which makes the development of the emulator very simple and easy.
  3. 所属分类:调试

    • 发布日期:2021-05-09
    • 文件大小:24364
    • 提供者:gulv110668
  1. ftdi programmator

    0下载:
  2. When using this method, only the hardware system and CPLD program of the DSP emulator need to be designed, and the USB driver is developed using the original program provided by TI, which makes the development of the emulator very simple and easy.
  3. 所属分类:调试

    • 发布日期:2021-05-09
    • 文件大小:218400
    • 提供者:gulv110668
« 1 2 34 »
搜珍网 www.dssz.com