CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - CW

搜索资源列表

  1. High-brightness-fiber-

    0下载:
  2. 利用2只915nm半导体激光短列阵作为子模块,设计并研制出连续输出的高亮度光纤耦合模块.-Two 915 nm diode laser short bars were used as sub-module tu manufacture high brightness fiber coupled module with continous wave(CW ) output power.
  3. 所属分类:Project Design

    • 发布日期:2017-11-13
    • 文件大小:757585
    • 提供者:李健
  1. cw-fpdy

    0下载:
  2. 财务凭证封皮打印模板。可帮助各公司财务人员解决手写凭证封面的难题。封皮模板请根据自身要求调整。-Financial the certificate cover print template. Can help the company s financial staff to solve the problem of handwritten certificate cover. The cover template according to their own requirements the a
  3. 所属分类:Other systems

    • 发布日期:2017-11-13
    • 文件大小:16089
    • 提供者:bt
  1. atom-cw-FINAL

    0下载:
  2. This a Crysis game hack written in MASM. It has some uncommon ideas, like structures for hook information. It has a process injector, that injects the hack s code into the game as if it was shellcode.-This is a Crysis game hack written in MASM. It ha
  3. 所属分类:Hook api

    • 发布日期:2017-11-23
    • 文件大小:65622
    • 提供者:wessie
  1. freescale

    0下载:
  2. Freescale 芯片例程,开发工具Codewarrior指南,芯片K60P144M100SF2完整指南(english), K60芯片IAR及CW例程和开发环境使用-Freescale K60 processor examples. Codewarrior user guide, K60 family reference book, K60 IAR developing examples and environment user guide.
  3. 所属分类:SCM

    • 发布日期:2017-11-13
    • 文件大小:37549460
    • 提供者:Sybal Wang
  1. gen_ambig_cwcw

    0下载:
  2. 矩形脉冲信号CW组合波形的模糊函数图的仿真-Rectangular pulse signal ambiguity function diagram of the the CW combination of waveform simulation
  3. 所属分类:matlab

    • 发布日期:2017-12-04
    • 文件大小:1148
    • 提供者:时飞
  1. PTfused_plot

    1下载:
  2. CW频率步进信号的时域和频率的包络波形的仿真-CW frequency step signal time domain and frequency envelope waveform simulation
  3. 所属分类:matlab

    • 发布日期:
    • 文件大小:617
    • 提供者:时飞
  1. CW

    0下载:
  2. 激活码WIN7起航非常有用的 打架可以事实上打算打算的爱上-Activation code WIN7 sail fights can be very useful in fact intend to intend to fall in love with
  3. 所属分类:ComboBox

    • 发布日期:2017-11-05
    • 文件大小:9061398
    • 提供者:me
  1. fsk-zishiying

    0下载:
  2. 发送CW编码脉冲,进行FSK编码,用自适应滤波器进行译码,经过仿真验证-CW sending coded pulses, FSK encoding and decoding using adaptive filters, through simulation
  3. 所属分类:matlab

    • 发布日期:2017-11-29
    • 文件大小:691
    • 提供者:xiaoyanyan
  1. Step-Motor-MSP430

    0下载:
  2. 用msp430G2553 控制两相四线的步进电机(通过L298n),带正反转控制,可控制前进距离和顺/逆时针转动角度。-control the step motor with msp430G2553,including CW/CCW, whoes angle is adjustable.
  3. 所属分类:SCM

    • 发布日期:2017-11-04
    • 文件大小:1024
    • 提供者:dalu
  1. test-tool

    0下载:
  2. 在CW中,调试飞思卡尔智能车舵机的软件及程序。-In CW, debugging the Freescale Smart car steering gear of the software and procedures.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-11-11
    • 文件大小:275283
    • 提供者:
  1. 11

    0下载:
  2. 用CW编程的5110液晶显示器在s12单片机上显示卡通图像-5110 LCD display with CW programming s12 SCM cartoon image
  3. 所属分类:SCM

    • 发布日期:2017-12-01
    • 文件大小:471707
    • 提供者:沈鑫
  1. Kinetis-Cortex-M4

    0下载:
  2. Kinetis Cortex M4 K60 系列源代码 ,支持 CW IAR 编译器
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-06
    • 文件大小:7912034
    • 提供者:lfw
  1. xinzaobitexing

    0下载:
  2. 雷达辐射源信噪比特性程序,其中包括cw、psk、fsk、lfm、nlfm等几种信号-Radar emitter signal-to-noise ratio of the characteristic procedures, including cw, psk, fsk, lfm, nlfm several signal
  3. 所属分类:matlab

    • 发布日期:2017-11-30
    • 文件大小:6212
    • 提供者:lin
  1. saidaotiquchuli

    0下载:
  2. IAR或CW开发环境,智能小车摄像头赛道提取处理程序代码-IAR the CW development environment, smart car camera track extraction handler code
  3. 所属分类:Special Effects

    • 发布日期:2017-12-05
    • 文件大小:591047
    • 提供者:
  1. CW_Keyer

    0下载:
  2. Arduino CW keyer (dot) morse code!
  3. 所属分类:Software Testing

    • 发布日期:2017-12-09
    • 文件大小:1133
    • 提供者:viniciuspais
  1. recognitionof-modulations

    1下载:
  2. 常见调制方式(AM/CW/FM/FSK/PSK等)自动识别算法-Automatic recognition of algorithm for the common modulations (AM/CW/FM/FSK/PSK, etc.)
  3. 所属分类:software engineering

    • 发布日期:2017-11-24
    • 文件大小:796446
    • 提供者:张木瓜
  1. S12X-CW-Code

    0下载:
  2. Freescale S12X系列单片机通用源码 AddBytes ATD&LED _XS128 demoXEP100timer ECT_MDC_PA_C IRQ&LED MPC8313 PC0 PC1 PWM_DA PWM_Pulse RunLED RunLED_C SCI SCI&ATD SCI_IRQ_C SegmentLED Sort SPI_Input_C SPI_Output_C SW&LED Time
  3. 所属分类:SCM

    • 发布日期:2017-11-05
    • 文件大小:6198458
    • 提供者:大书
  1. Generate_2psk

    1下载:
  2. 雷达信号产生cw 简单脉冲信号很好用信号产生-Simple radar signal pulse signal generating cw signal generating good
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:537
    • 提供者:zhangyi
  1. calendar

    0下载:
  2. 基于DG128C——CW 16位单片机应用与开发的百年历设计-Based DG128C- CW 16-bit MCU application design and development Centennial calendar
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:320916
    • 提供者:luffynaruto
  1. CW-output

    0下载:
  2. 当你输入要输出的阶数时,会相应的得到从1开始输出的顺时针的数-When you enter the order number to be output, it will be a corresponding output starts from a number of clockwise
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:802
    • 提供者:王再军
« 1 2 3 4 5 6 78 9 10 11 »
搜珍网 www.dssz.com