CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FIFO verilog

搜索资源列表

  1. FIFO64

    0下载:
  2. FIFO级联,利用verilog语言实现Xilinx FIFO18单元的多个级联,增大FIFO深度。-FIFO cascade, using Verilog Xilinx FIFO18 language to achieve a number of cascade units, increasing the FIFO depth.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:3574
    • 提供者:eofper
  1. fifo_datapath

    0下载:
  2. verilog实现,串转并通过fifo再并转串,可以满足输入速率自由输出的一半时,输出仍可持续发送-verilog achieved, and through serial switch and switch again fifo Series, Rate free importation to meet half of the output, the output is still sustainable Send
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2515
    • 提供者:WhieHou
  1. SRAM_WR

    0下载:
  2. 本人自己经过实践检验的SRAM读写器,用Verilog编写的,可以作为FIFO使用。-I own proven SRAM reader, using Verilog prepared, can be used as a FIFO.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1196
    • 提供者:孟德
  1. New_UART_verilog

    1下载:
  2. 这个是最新的UART的verilog代码,里边含有和UART相关的所有function,比如状态机,接收发送FIFO等相关代码。-New UART verilog sample code,Include FIFO code state mashine code ,recevier/trasmiter code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4088
    • 提供者:parkershe
  1. FT245BL_test

    0下载:
  2. (1)FT245BL芯片datasheet(2)test,USB 转FIFO 芯片测试的verilog程序-(1) FT245BL chip datasheet (2) test, USB transfer FIFO chip testing procedures verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:427112
    • 提供者:wangqiang
  1. syn_fifo_style_1

    0下载:
  2. verilog实现的,异步FIFO。所有代码在一个模块中。-verilog achieve, asynchronous FIFO. All code in a module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1015
    • 提供者:刘禹韬
  1. syn_fifo_style_2

    0下载:
  2. 由verilog实现的,异步FIFO,分为多模块实现。-Verilog achieved by the asynchronous FIFO, divided into multiple modules.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1379
    • 提供者:刘禹韬
  1. Quartus

    1下载:
  2. VERILOG AD采集程序 FIFO存储-VERILOG AD acquisition program FIFO memory
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-07
    • 文件大小:739561
    • 提供者:
  1. spi_cbb

    0下载:
  2. 基于FPGA设计,verilog语言变成的,SPI通用接口模块,顶层已封装成类似标准的FIFO接口;提供仿真文件;仿真器为modelsim10.0c,波形观察debussy。-Based on the FPGA design, Verilog language into a, SPI universal interface module, the top has been packaged into a FIFO interface similar to that of the standard
  3. 所属分类:Com Port

    • 发布日期:2017-04-03
    • 文件大小:554234
    • 提供者:Zou Xingyu
  1. fifo32x32

    0下载:
  2. SYNCHRONOUS FIFO EXAMPLE IN VERILOG
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:737
    • 提供者:Nik
  1. fifo_verilog

    0下载:
  2. 16位FIFO的硬件电路,使用verilog实现。文件内含组合逻辑和寄存逻辑两种方法的实现,以及对应的testbench测试代码-16 FIFO hardware circuits using verilog implementation. File contains a combination of logic and storage logic to achieve the two methods, and the corresponding testbench test code
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:33429
    • 提供者:chenhaoc
  1. asyn_fifo

    0下载:
  2. verilog asyn_fifo,内含详细说明,同步FIFO为TPRAM-asyn_fifo include detailed instruction,Synchronous FIFO for TPRAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:388859
    • 提供者:杨莉莉
  1. syn_fifo

    0下载:
  2. Verilog,syn_fifo ,内含详细说明,同步FIFO为TPRAM-Verilog, syn_fifo, containing detailed instructions for synchronous FIFO TPRAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:160363
    • 提供者:杨莉莉
  1. sync_fifo

    0下载:
  2. 同步fifo实现代码,包括的参数:数据宽度、fifo深度、地址宽度;状态信息包括:full, empty。-verilog RTL code which implement a synchronous FIFO function with data width, fifo depth, address pointer width parameterized.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1141
    • 提供者:BaiLi
  1. axis_fifo

    1下载:
  2. VIVADO下使用verilog编码的axi fifo的简单使用,仿真通过,供初学者学习。-Use the following VIVADO verilog coding axi fifo simple to use, through simulation, for beginners to learn.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-12
    • 文件大小:19738902
    • 提供者:李斌
  1. async_fifo_prj

    0下载:
  2. Verilog语言写的异步fifo,包含随机数产生电路和testbentch,直接可用于实际工程中。代码为华为内部代码。相信你会喜欢。-Verilog language used to write asynchronous fifo, including random number generation circuit and testbentch, can be used directly in the actual project. Code for Huawei internal code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-18
    • 文件大小:27829302
    • 提供者:allcot
  1. A_FIFO

    0下载:
  2. 自己编写的Verilog 异步fifo 有一定的个参考价值 -Verilog 异步 Fifo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:459829
    • 提供者:john
  1. S_FIFO

    0下载:
  2. 自己编写的同步Verilog FiFO 还是不错的 可以-Verilog 同步 FIFO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:638307
    • 提供者:john
  1. rx_tx_demo

    0下载:
  2. 用verilog实现的少量字符串的连续收发,添加了FIFO模块,稍微修改下就可以使用。-Receive a small amount of a continuous string of verilog implementation, added FIFO module, can be used under slightly modified.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2452
    • 提供者:
  1. HWL_ASYNC_FIFO_DRAM_BA

    0下载:
  2. asynchronous fifo based on distributed RAM. xilinx fpga. VErilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1568
    • 提供者:D
« 1 2 ... 12 13 14 15 16 1718 19 »
搜珍网 www.dssz.com