CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FIR filter code

搜索资源列表

  1. Matlab

    0下载:
  2. 基于Matlab的数字FIR滤波器设计及代码-Matlab-based digital FIR filter design and code
  3. 所属分类:Graph program

    • 发布日期:2017-04-07
    • 文件大小:248381
    • 提供者:吴磊
  1. basicfir

    0下载:
  2. the code performs the basic fir filter operation
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:615
    • 提供者:yeshoda
  1. DSP_FIR

    0下载:
  2. 基于matlab和DSP的FIR滤波器的设计,内涵完整的源代码和报告分析。-Matlab and DSP-based FIR filter design, meaning a complete analysis of the source code and reports.
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:129402
    • 提供者:tanisaber
  1. lowpowerfir

    0下载:
  2. This project was undertaken to produce a low power FIR filter for inclusion in a VHDL target library. The design was completed using OrCAD s Capture CIS, from this the VHDL code has been extracted. This method has allowed complete testing of the syst
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:447471
    • 提供者:Nagendran
  1. work

    0下载:
  2. 等波纹FIR滤波器设计源代码,包含对于滤波器系数量化的部分。-the source code of equiripple FIR filter, including the fixed point analysis
  3. 所属分类:matlab

    • 发布日期:2017-04-16
    • 文件大小:52615
    • 提供者:sofia
  1. applied_signal_4

    0下载:
  2. this file contain matlab code for fir filter design-this file contain matlab code for fir filter design
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:1903
    • 提供者:khanjee
  1. fir_compiler

    0下载:
  2. FIR编译器。自动生成具有用户自定参数的FIR滤波器。 在 matlab里面设计滤波器,matlab里面设计输入字长。生成的rtl代码是该文件的头部有位宽宏定义,可以自行查阅。 -FIR Compiler. Automatically generate a user-defined parameters of FIR filters. Design a filter inside the matlab, matlab which design input word length. Rtl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2137038
    • 提供者:秋田
  1. code

    0下载:
  2. 用matlab语言,实现多种滤波器设计,分别为IIR、FIR-Matlab language used to achieve a variety of filter design, respectively, IIR, FIR
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:36563
    • 提供者:葛新
  1. FPGA_FIR

    0下载:
  2. 基于FPGA的FIR滤波器的源代码,经测试完全正常。-FPGA-based FIR filter' s source code, the test is normal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:152170
    • 提供者:Nothing
  1. 17jieFIR

    0下载:
  2. 17阶FIR滤波器VHDL代码及说明文档-17-order FIR filter VHDL code and documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:722144
    • 提供者:钟祥
  1. FIR-low-pass-filter

    0下载:
  2. FIR低通滤波器(语音低通滤波器设计低码) 有音乐效果-FIR low-pass filter (low pass filter design low voice code) has sound effects
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-03-26
    • 文件大小:137911
    • 提供者:
  1. LPF

    0下载:
  2. 数字低通FIR滤波器Verilog实现代码-Verilog digital FIR filter implementation code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:4013
    • 提供者:程超
  1. myfir

    0下载:
  2. fir滤波器的源代码 基于乘法器结构的线性相位滤波器-The source code for fir filter structures based on linear phase filter multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:780
    • 提供者:雪天使
  1. XILUNX_SPARTAN_FIR

    0下载:
  2. PSARTAN synthesizable code for FIR Filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:130220
    • 提供者:Aman
  1. 5509_FIR

    0下载:
  2. 基于TI 5509A的FIR滤波器代码,C语言写成。包含基于CCS3.3的完整工程,已运行通过。-FIR filter source code for TI 5509A DSP
  3. 所属分类:DSP program

    • 发布日期:2017-04-02
    • 文件大小:204217
    • 提供者:秦亮
  1. 123_FIR

    0下载:
  2. DSP,C语言代码,FIR滤波器的设计,编译仿真通过-DSP, C language code, FIR filter design, simulation by compilation
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:448772
    • 提供者:zhouhaibo
  1. FILTER_FIR

    0下载:
  2. this is code for fir filter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:6443
    • 提供者:thuyhang
  1. fir5402_ccs2

    0下载:
  2. CCS汇编实现FIR滤波器,38阶对称结构低通滤波-dsp,use Code Composer Studio TO RALIZE FIR-filter
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:12197
    • 提供者:ronnie
  1. New-folder-(2)

    0下载:
  2. this is avhdl code for fir filter design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1247
    • 提供者:nisha
  1. New-folder

    0下载:
  2. this code will help to design fir filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1125
    • 提供者:nisha
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 18 »
搜珍网 www.dssz.com