CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA,verilog hdl

搜索资源列表

  1. pal_vedio

    3下载:
  2. 基于FPGA的pal制模拟视频显示程序,verilog Hdl-pal-d vedio display fpga verilog
  3. 所属分类:VHDL编程

    • 发布日期:2013-09-10
    • 文件大小:1365
    • 提供者:wushj
  1. FPGA_AD7822

    0下载:
  2. 基于FPGA的AD转换控制器设计,AD7822,quartus II,verilog hdl-A Design of the A/D Convertion Control Module Based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:57810
    • 提供者:sxy
  1. Chapter6-9

    3下载:
  2. 第六章到第九章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-11
    • 文件大小:6281027
    • 提供者:xiao
  1. Music_LiangZhu

    0下载:
  2. FPGA音乐试验,语言:verilog HDL-A FPGA expperientation which can play music Liangzhu,language:verilog HDL
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-25
    • 文件大小:289816
    • 提供者:CQJ
  1. CCD_DRIVER

    0下载:
  2. verilog HDL语言,线性CCD1501D驱动程序,基于FPGA,其他线性传感器可参照修改。-verilog HDL language, linear CCD1501D driver, based on the FPGA, the other linear sensor can be modified by reference.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:293387
    • 提供者:liuyuetian
  1. SPI_verilog_mycode

    0下载:
  2. 基于Verilog HDL的SPI代码,可在FPGA上实现SPI接口,请大家参考-Verilog HDL based on the SPI code, implementation in FPGA on SPI interface, please refer to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1004
    • 提供者:treefan.liang
  1. Linux_bc

    1下载:
  2. 对vga接口做了详细的介绍,并且有一 ·三段式Verilog的IDE程序,但只有DMA ·电子密码锁,基于fpga实现,密码正 ·IIR、FIR、FFT各模块程序设计例程, ·基于逻辑工具的以太网开发,基于逻 ·自己写的一个测温元件(ds18b20)的 ·光纤通信中的SDH数据帧解析及提取的 ·VHDL Programming by Example(McGr ·这是CAN总线控制器的IP核,源码是由 ·FPGA设计的SDRAM控制器,有仿真代码 ·xili
  3. 所属分类:Linux-Unix program

    • 发布日期:2015-03-19
    • 文件大小:18683907
    • 提供者:liuzhou
  1. SerialPort

    0下载:
  2. 一个用verilog HDL 编写的串口发送程序,可以下载到FPGA中。已经在ActelFPGA中试过了,很好用。稍微修改之后,可以与Xilinx和Altera公司的FPGA兼容。-A programe dialogue to transmit a serial data which is writen by Verilog HDL.
  3. 所属分类:Com Port

    • 发布日期:2017-04-02
    • 文件大小:351229
    • 提供者:罗苏华
  1. I2C_interface

    0下载:
  2. FPGA的I2C总线模拟,采用verilog HDL语言编写-I2C bus of the FPGA simulation, verilog HDL language used
  3. 所属分类:Software Testing

    • 发布日期:2017-04-03
    • 文件大小:2474
    • 提供者: 陳皇仁
  1. auk_sdsdi

    6下载:
  2. 用于FPGA设计的代码(Verilog代码),在FPGA设计中的高速串并转换,时钟提取,对齐处理等功能-for FPGA design ,written by Verilog HDL the functions include SERDES , CDR and so on
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-20
    • 文件大小:229495
    • 提供者:龙珠
  1. FpgaDesignOfWirelessCommunicationsCodeExamples

    0下载:
  2. 无线通信fpga设计代码实例,包括MATLAB和Verilog HDL 语言实例,供大家学习和研究-Fpga design of wireless communications, code examples, including examples of MATLAB and Verilog HDL language, for them to learn and study
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:206600
    • 提供者:steven
  1. UART_VHDL

    0下载:
  2. 由于微电子学和计算机科学的迅速发展,给EDA(电子设计自动化)行业带来了巨大的变化。特别是进入20世纪90年代后,电子系统已经从电路板级系统集成发展成为包括ASIC、FPGA/CPLD和嵌入系统的多种模式。可以说EDA产业已经成为电子信息类产品的支柱产业。EDA之所以能蓬勃发展的关键因素之一就是采用了硬件描述语言(HDL)描述电路系统。就FPGA和CPLD开发而言,比较流行的HDL主要有Verilog HDL、VHDL、ABEL-HDL和 AHDL 等,其中VHDL和Verilog HDL因适合
  3. 所属分类:Other systems

    • 发布日期:2017-03-23
    • 文件大小:291305
    • 提供者:lilei
  1. CHICAGO5Manual

    0下载:
  2. 高科技的发展使芯片设计不再是半导体工业的领域,现场可编程逻辑阵列(FPGA)的出现使通过软件来快速实现芯片设计成为可能。本系统是广泛面向全球的工程技术人员和大专院校学生,使您能够在最短的时间内掌握FPGA的应用与VHDL/AHDL/Verilog HDL这一电子逻辑设计利器,迅速的加入高级电子设计人才行列。-The development of high-tech chip design is no longer the field of semiconductor industry, fiel
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:257817
    • 提供者:童志通
  1. clock

    0下载:
  2. 多功能数字钟Verilog HDL的源码,能够整点报时,报整点数,设定任意时刻闹钟,低音高音两种频率。-Multi-function digital clock Verilog HDL source code, set the alarm clock at any time, bass treble two frequencies. It s for FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:984150
    • 提供者:Stone Lei
  1. TLC5620

    0下载:
  2. Verilog HDL语言,FPGA实现TLC5620的DAC源代码-Verilog HDL language, FPGA implementation of the DAC TLC5620 source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:497039
    • 提供者:双目林
  1. eda

    1下载:
  2. 利用FPGA可编程芯片及Verilog HDL语言实现了对直流电机PwM控制器的设计,对直流电机速度进行控制。介绍了用Verilog HDL语言编程实现直流电机PwM控制器的PwM产生模块、串口通信模块、转向调节模块等功能,该系统无须外接D/A转换器及模拟比较器,结构简单,控制精度高,有广泛的应用前景。同时,控制系统中引入上位机控制功能,可方便对电机进行远程控制。-Using FPGA programmable chip and Verilog HDL language for the desi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4268220
    • 提供者:杨汉轩
  1. uart1

    0下载:
  2. RS232(UART)串口传输,通过了FPGA验证功能正确-UART RS232 verilog HDL FPGA xilinx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:238071
    • 提供者:
  1. Fre_Counter_verilog

    0下载:
  2. 基于ep3c25的FPGA频率计的简单设计(用verilog HDL),直接打开即可-FPGA frequency counter based on ep3c25 of simple design (using verilog HDL), can directly open the ... ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1138233
    • 提供者:yunhen
  1. FPGAdeguangshanjiancejishu

    0下载:
  2. 本文档设计了1光栅位移传感器信号的接收、光栅位移传感器信号的整形及电平转换电路设计,用Verilog HDL描述了锁相倍频细分和零位信号处理电路。利用FPGA实现光栅位移系统与上位机接口的电路原理框图-This document designed a grating displacement sensor signal reception, grating displacement sensor signal shaping and level conversion circuit design
  3. 所属分类:Project Design

    • 发布日期:2017-05-03
    • 文件大小:1329029
    • 提供者:于小微
  1. mux6

    0下载:
  2. 多路开关程序,verilog HDL编写,在FPGA里面实现,已经通过。-writing by verilog HDL program for FPGA application,complied successfully.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1607
    • 提供者:LI
« 1 2 3 45 6 7 8 9 10 ... 17 »
搜珍网 www.dssz.com