CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA 开发板

搜索资源列表

  1. DE2_CCD_PIP

    0下载:
  2. de2,altera fpga开发板,自带的源码,ccd_pip-de2, altera fpga development board, comes with source code, ccd_pip
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:147717
    • 提供者:李华伟
  1. digital-quadrature-down-converter

    2下载:
  2. 基于FPGA的数字正交下变频器设计,在ALTERA的DE2开发板上设计一个多相滤波结构数字正交变换器。其中多相滤波模块是最关键模块,该模块将64阶滤波器的系数分成奇偶两路,并通过VHDL常数的方式存储在模块内部。这些常数是通过在MATLAB中调用FDATool,根据滤波器的参数要求来生成的。这些浮点格式的滤波器系数还需要在MATLAB中计算成二进制补码的形式,才可以存储在模块中。-FPGA-based digital quadrature down-converter design, ALTER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7411722
    • 提供者:joey
  1. led

    0下载:
  2. ALTERA公司的FPGA开发板的流水灯显示VHDL程序-LED DISPIAY
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:664
    • 提供者:周慧
  1. shumaguan-display

    0下载:
  2. ALTERA公司的FPGA开发板的数码管动态显示VHDL程序-ALTERA SHUMAGUAN DISPLAY VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:351767
    • 提供者:周慧
  1. key_led

    0下载:
  2. ALTERA公司的FPGA开发板的按键控制ledVHDL程序-ALTERA key led VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:198591
    • 提供者:周慧
  1. singel-plus

    0下载:
  2. XINLINX公司FPGA开发板的单脉冲信号发生器verilog程序-XINLINX FPGA SINGLE PLUS VERILOG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:385018
    • 提供者:周慧
  1. ISE_lab3

    0下载:
  2. xilinx公司FPGA开发板多路复用器的设计-xilinx FPGA ise
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:364200
    • 提供者:周慧
  1. ISE_lab4

    0下载:
  2. xilinx公司FPGA 开发板比较器的设计VERILONG程序-xilinx FPGA VERILONG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:280181
    • 提供者:周慧
  1. verilog

    0下载:
  2. 数字信号的处理,是用FPGA开发板实现的,可供做数字滤波器的提供参考-Digital signal processing is implemented with FPGA development board available for reference to do the digital filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:347503
    • 提供者:帅秀
  1. H2C35-V6-core

    0下载:
  2. FPGA开发板原理图,核心板的,EP2C系列的,希望对大家有帮助-FPGA development board schematics, core board, EP2C series, we want to help
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:153563
    • 提供者:黄石唯
  1. flowingled_top

    0下载:
  2. 基于VHDL语言实现流水灯功能,并已在FPGA开发板上完成测试-VHDL language based on light water features, and has completed testing in FPGA development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1066
    • 提供者:liuhang
  1. LCD12864

    0下载:
  2. lcd12864程序,采用Verilog语言编写,在CPLD开发板上经过验证,正确无误,实现显示英文的功能,希望对大家有用-lcd12864 procedure for the Verilog language, proven in the CPLD development board, correct, implement the function displayed in English, we hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:250442
    • 提供者:wanghong
  1. FPGA

    0下载:
  2. FPGA实验开发,拥有实验讲义 实验开发板的功能详细介绍-The inform of FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4385381
    • 提供者:孙立
  1. 543533heijindongli

    0下载:
  2. 黑金动力的FPGA开发板,实验说明,很不错,适合初学者!-The power of the black gold FPGA development board, the experiment explains, very good, is suitable for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1723088
    • 提供者:高丰
  1. vga_example

    0下载:
  2. 通过fpga开发板的vga接口连接显示器,显示8种颜色的彩条-fpga used to display 8-colors through the VGA interface.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:153168
    • 提供者:wuyoufei
  1. ep2c35_3.14_beep

    0下载:
  2. FPGA用于产生蜂鸣器的verilog程序,可以下到FPGA开发板上进行实验。-this program is writen by verilog . it is used to trigger the beep which is in the FPGA s develop board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:49605
    • 提供者:Nevin Young
  1. Nexys2_sch

    0下载:
  2. digilent最新fpga开发板nexys原理图资料,非常详细,规范。-digilent latest fpga development board nexys schematic information, very detailed, specification.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1721721
    • 提供者:tiger
  1. 4bit_multiply

    0下载:
  2. 4位无符号乘法器,在fpga开发板上实现了乘法的功能-4 unsigned multiplier, in the fpga development board to achieve a multiplication of functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:124389
    • 提供者:流风
  1. b_sound_card

    0下载:
  2. 声卡数据采集,通过MATLAB进行声卡采集,通过串口将采集到的数据发送到FPGA开发板上。-Sound card data acquisition, through MATLAB for sound card collection, through the serial port will send the collected data to the FPGA development board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4628051
    • 提供者:洪依
  1. vga

    0下载:
  2. 基于Spartan-3E FPGA开发板 vga显示设计-Spartan-3E FPGA based development board vga display design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2575003
    • 提供者:严慧
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 41 »
搜珍网 www.dssz.com