CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA 频率计

搜索资源列表

  1. fpga-example2

    1下载:
  2. ASK调制与解调VHDL程序及仿真 FSK调制与解调VHDL程序及仿真 PSK调制与解调VHDL程序及仿真 基带码发生器程序设计与仿真 频率计程序设计与仿真-ASK modulation and demodulation VHDL simulation procedures and FSK modulation and demodulation process and VHDL simulation PSK modulation and demodulation process
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:618922
    • 提供者:张伟
  1. ARM+FPGA

    0下载:
  2. 基于ARM平台的等精度数字显示频率计的设计,已通过测试-ARM-based platforms, such as the accuracy of figures show that the frequency of design, has been tested
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:3713
    • 提供者:钟声
  1. freq_counter

    0下载:
  2. 等精度频率计,用Xilinx FPGA和51单片机实现-Precision frequency meter, etc., using Xilinx FPGA and 51 MCU
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:404330
    • 提供者:cx
  1. Fre_Counter_verilog

    0下载:
  2. 基于ep3c25的FPGA频率计的简单设计(用verilog HDL),直接打开即可-FPGA frequency counter based on ep3c25 of simple design (using verilog HDL), can directly open the ... ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1138233
    • 提供者:yunhen
  1. Frequency_Counter

    0下载:
  2. 基于ep3c25的FPGA频率计的简单设计(用VHDL编写),直接打开即可-FPGA frequency counter based on ep3c25 of simple design (using VHDL written), directly open a can ... ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1130646
    • 提供者:yunhen
  1. fpga

    0下载:
  2. vhdl和c编写,fpga结合单片机完成测频计的功能,fpga主要完成频率的测量并把数据发送给单片机,单片机控制12864液晶完成显示-vhdl and c preparation, fpga of the single chip to complete the function of frequency meter, fpga major to complete the measurement frequency and the data sent to the MCU, MCU contro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2596259
    • 提供者:xxhlshe
  1. msp430

    1下载:
  2. 该源文件以msp430f149为CPU,内含MSP430各分模块的程序,适合单片机初学者学习,还有简易频率计,数字存储示波器,函数信号发生器三个模块的源程序,适合msp430学习者的实践。还带有FPGA的学习部分 含AD/DA-Msp430f149 the source file for the CPU, the sub-module containing MSP430 program for the microcontroller for beginners to learn, and sim
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-09-29
    • 文件大小:21143265
    • 提供者:
  1. Frequency-counter

    0下载:
  2. 基于FPGA的频率计设计。通过FPGA运用、 HDL编程,利用FPGA(现场可编程门阵列)芯片设计了一个8位数字式等精度频率计,该频率计的测量范围为0-100MHZ,利用QUARTUS II集成开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,经实际电路测试,仿真和实验结果表明,该频率计有较高的实用性和可靠性。-Frequency counter FPGA-based design. By using FPGA, VHDL programming, the use of FPGA (fi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:596239
    • 提供者:吴亮
  1. fre_count

    0下载:
  2. fpga频率计altera DE2开发板调试通过-fpga altera DE2 frequency count
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-04-20
    • 文件大小:453632
    • 提供者:范梁
  1. fpga--pinlvji

    0下载:
  2. 基于fpga的数字频率计,才用等精度原理设计,编程用的是VHDL语言-Fpga-based digital frequency meter, only with the accuracy of the principle of design, programming with VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:247118
    • 提供者:dongsir
  1. fpga

    0下载:
  2. 利用超高速硬件描述语言(VHDL)在现场可编程逻辑门阵列(FPGA)上编程实现的纯数字式等精度频率计,不但具有较高的测量精度,而且其测量精度不会随着被测信号频率的降低而下降。为了实现对任意信号进行频率测量,在前端输入加整形电路即可。-The cymoneter that be implemented with using the VHDL (Very High Speed Integrated Hardware Descr iption Language) to program into the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:35507
    • 提供者:张新
  1. FPGA-based-frequency-counter

    0下载:
  2. 文章主要介绍了使用VHDL实现数字频率计的功能,其中包含了各部件的VHDL语言描述,仿真和大致硬件框图,对于初学EDA者大有帮助。-The article introduces the VHDL realization of the functionality of the digital frequency meter, which contains the hardware block diagram of the various components of the VHDL languag
  3. 所属分类:Project Design

    • 发布日期:2017-05-02
    • 文件大小:776981
    • 提供者:金刚
  1. CPLD

    0下载:
  2. 数字频率计在FPGAEP4CE10F17C8上的功能实现和运用(Application of digital cymometer in FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:1050624
    • 提供者:羊羊排排
  1. cntf

    1下载:
  2. 实现在FPGA上的频率计,用VHDL预言实现,可以显示在六位数码管上,范围为1khz至50Mhz(Frequency tester by FPGA)
  3. 所属分类:通讯编程

    • 发布日期:2017-12-22
    • 文件大小:8710144
    • 提供者:三菁
  1. FMT

    0下载:
  2. 基于vhdl设计的数字频率计,后面还加了个与fpga通信的模块(Digital frequency meter based on VHDL design, and later added a module to communicate with FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:13743104
    • 提供者:羲曜
  1. plj

    0下载:
  2. 2秒闸门时间频率计,以及一个分频器,使用FPGA及verilog语言实现(2 second gate time frequency meter)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:5640192
    • 提供者:qjy617891942
  1. 27个FPGA实例源代码

    0下载:
  2. 27个实例代码,AD ,DA,频率计程序与仿真等(27 instance code:AD DA Frequency meter program and simulation)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:1277952
    • 提供者:骆驼驼
  1. frequency

    0下载:
  2. 用于FPGA开发,使用VERILOG语言编写,并在QUARTUS II仿真平台仿真,实现频率计的功能。(It is used in FPGA development, written in VERILOG language, and simulated on the QUARTUS II simulation platform to realize the function of the frequency meter.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:371712
    • 提供者:丶静俟
  1. cymometer

    0下载:
  2. 使用XILINX公司出品FPGA开发板,基于verilog语言,实现简单的脉冲频率计功能;(The FPGA development board is produced by XILINX company, and a simple pulse frequency meter function is realized based on Verilog language.)
  3. 所属分类:嵌入式/单片机/硬件编程

  1. 基于FPGA的频率计设计源码

    1下载:
  2. 频率计设计,FPGA实现纯逻辑等精度频率计设计,功能调试通过。亲测好用。
  3. 所属分类:VHDL编程

    • 发布日期:2023-12-30
    • 文件大小:49628440
    • 提供者:阿Q不再画圆
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com