CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA AD

搜索资源列表

  1. AD-DA_VHDL

    0下载:
  2. 用VHDL编程实现FPGA对的AD9201控制-FPGA using with VHDL programming to control the AD9201
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:790
    • 提供者:穆玉忠
  1. AD9851

    0下载:
  2. AD9851资料大全(程序、原理图、调试心得)还有其他一些AD的资料-AD9851 Sourcebook (procedures, schematics, debugging experience) there are other AD information
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-23
    • 文件大小:7498968
    • 提供者:小猪
  1. FPGA-using-for-SDR

    0下载:
  2. FPGA在软件无线电设计中的应用,AD、DA,FIR、CIC的设计-FPGA SDR
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-15
    • 文件大小:23131290
    • 提供者:luhb
  1. ad_da

    1下载:
  2. Altera FPGA ad采样,da回放-Altera FPGA AD sampling, da playback
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-20
    • 文件大小:580608
    • 提供者:小亮
  1. DA_TLC5620

    1下载:
  2. fpga实验板上的实现DA,AD转换,按动按键,数码管显示增加-The realization of the board on fpga DA, AD transform, press the button, digital pipe display increased
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-23
    • 文件大小:703008
    • 提供者:123
  1. AD

    0下载:
  2. 基于FPGA的对ADC0809控制的一些实例,对初学者有一定的帮助-Based on FPGA for control of the ADC0809 a simple procedure, to help beginners understanding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:763446
    • 提供者:
  1. AD

    0下载:
  2. 利用FPGA芯片控制模拟信号到数字信号的转换-FPGA chip to control the conversion of analog signals to digital signals
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:6252
    • 提供者:江枫
  1. ad

    0下载:
  2. 采用Qutrtus2编程,通过FPGA:CycloneII 系列EP2C5Q208C8N与TLC549P实现AD转换-To adopt Qutrtus2 programming, FPGA: CycloneII the series EP2C5Q208C8N TLC549P AD converter
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:595295
    • 提供者:ouranx
  1. ad

    0下载:
  2. 程序是本人亲测,可实现fpga对ads804的高速数据采集,和输出。利用了fpga的fifo和ad芯片每六个时钟数据更新一次的原理-The program I pro-test, the FPGA the ads804 high-speed data acquisition and output. The principle of use fpga fifo and ad-chip is updated once every six clock data
  3. 所属分类:software engineering

    • 发布日期:2017-05-12
    • 文件大小:2700187
    • 提供者:阮志强
  1. signal-process_based-FPGA

    1下载:
  2. 给予FPGA内核处理语音信号,外围部件有AD/DA芯片,RTL级实现对信号的采集处理到输出-signal process_based FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-23
    • 文件大小:9630588
    • 提供者:赵龙贺
  1. FPGA-A_D

    0下载:
  2. 基于FPGA的多路AD转换控制接口设计,本文具有代表性,应用广泛-FPGA-based multi-channel AD conversion control interface design, typical of this article, widely used
  3. 所属分类:Software Testing

    • 发布日期:2017-11-13
    • 文件大小:295192
    • 提供者:NAME
  1. CJQ-V1.0-fpga

    0下载:
  2. 实现FPGA对AD芯片AD7060的控制,程序代码的注释很多,易学易懂,适合初学者学习使用-it is good ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2346429
    • 提供者:gh
  1. FPGA-PROGRAM

    0下载:
  2. 包括有led、lcd、步进电机、ad、da、等程序-Including those led, lcd, stepper motor, ad, da, and other procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1280037
    • 提供者:曾华林
  1. tlc549adc

    0下载:
  2. FPGA AD数据采集模块,实现模拟信号到数字信号转换。-FPGA AD data acquisition module, the analog signal to digital signal conversion.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:685832
    • 提供者:刘芳
  1. FPGA-chipscope-Analysis-AD-

    1下载:
  2. FPGA chipscope Analysis AD 主要包括一款TI/国半的超高速ADC调试经验总结,chipscopez抓取信号波形的MATLAB分析-FPGA chipscope Analysis AD ,包含TI high speed ADC debug, and matlab analyse the waveform captured by chipsope
  3. 所属分类:Project Design

    • 发布日期:2015-01-25
    • 文件大小:1111040
    • 提供者:gao xiangfeng
  1. AD-and-DA-in-DSPPFPGA

    0下载:
  2. 上海志宇DSP+FPGA开发板AD/DA回放程序-AD/DA in DSP+FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-12-23
    • 文件大小:3249152
    • 提供者:zhangtao
  1. FPGA-Vrilog

    0下载:
  2. 我们课程设计的代码,课设内容是基于FPGA的时间测量和AD模数转换。该代码是用Verilog语言编写的。-Our curriculum design code, class-based content is FPGA-based time measurement and AD analog to digital conversion. The code is written in Verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1516
    • 提供者:Mia
  1. fpga

    0下载:
  2. FPGA代码,包含地址译码模块、16位锁存器、AD片选、死区及滤除窄脉冲、过流和短路保护、解除脉冲封锁模块、PWM模块、PWM选择 -FPGA code, including the address decoder module 16 latches, AD chip select, filter out the dead and narrow pulse, overcurrent and short circuit protection, lifting the blockade puls
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:172034
    • 提供者:qiqi
  1. FPGA

    0下载:
  2. 韩福柱老师FPGA实验源码,用vhdl语言在xilinx FPGA上实现,包括ad采集,温度传感器读取,秒表,跑马灯和按键次数统计4个实验-Han Fu teacher FPGA column experiment source code, vhdl languages on xilinx FPGA implementations, including ad acquisition, temperature sensor readings, stopwatch, marquees and key
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:8433
    • 提供者:Jery
  1. FPGA

    0下载:
  2. 睿智开发板配套代码包,板载AD和DA,亲测可用。-Wise development board supporting code package, onboard AD and DA, pro-test available.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:8614487
    • 提供者:张国务
« 1 2 3 4 56 7 8 9 10 ... 13 »
搜珍网 www.dssz.com