CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA LCD VHDL

搜索资源列表

  1. LCD12864

    1下载:
  2. FPGA 12864液晶显示屏 汉字显示实例教程-FPGA 12864 LCD Chinese display examples tutorial
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-03-26
    • 文件大小:680110
    • 提供者:苏有路
  1. lcd

    0下载:
  2. 基于fpga的tft液晶驱动,控制器是ILI9325,是verilog写的,16位并口模式,我上网上搜索了很久都没找到的,-Fpga based on the tft LCD driver, controller ILI9325, is written in verilog, 16-bit parallel mode, on-line search for a long time I did not find,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-02
    • 文件大小:3734528
    • 提供者:第三方
  1. TFT

    0下载:
  2. 3.5寸TFT FPGA驱动程序,2C8驱动扫描TFT屏实现色条-TFT DV for FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:540967
    • 提供者:zusen
  1. kp_lcd

    0下载:
  2. This is Keypad and LCD interface C code Tested on Sparton 3 xilinx FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2257
    • 提供者:bhagwan
  1. kp_uart

    0下载:
  2. This UART and LCD interface C code Tested on Sparton 3 xilinx FPGA.-This is UART and LCD interface C code Tested on Sparton 3 xilinx FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3571
    • 提供者:bhagwan
  1. LCD

    0下载:
  2. 利用FPGA和硬件描述语言来控制字符型液晶显示器的读写-The use of FPGA and hardware descr iption language to control the read and write character LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2669146
    • 提供者:qinkui
  1. 23

    0下载:
  2. 基于FPGA的液晶显示控制器的设计,FPGA用的是EP2C5,LCD用的是ST7920内核的122*32点阵的LCD,显示中西文字符-FPGA-based LCD display controller design, FPGA is used EP2C5, LCD is used in the ST7920 core of 122* 32 dot matrix LCD, display of Chinese and Western characters
  3. 所属分类:Other systems

    • 发布日期:2017-05-10
    • 文件大小:2321364
    • 提供者:龙霄
  1. lcd_driver

    0下载:
  2. 用FPGA控制12864液晶输出时钟信息 很好 可以根据自己的需要更改 -12864 LCD control with FPGA clock output information can be very good according to their need to change the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:406869
    • 提供者:刘军鹏
  1. LCD_Top

    0下载:
  2. FPGA 的verilog LCD显示代码-FPGA code in verilog LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2758
    • 提供者:xcxscf
  1. xianshi_lcd_0

    0下载:
  2. 实现了lcd1602显示的功能,可以在lcd上显示“年”字,有利于初学者学习lcd在fpga上显示,采用文本编辑的,利用quartus ii 702-Achieved lcd1602 display function, you can lcd display " " The word will help beginners learn lcd display in the fpga, using a text editor, using quartus ii 702
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:191245
    • 提供者:ad
  1. lcd_drv

    0下载:
  2. IP core for LCD controller of Xilinx FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2061
    • 提供者:phong duong
  1. s3esk_startup

    0下载:
  2. 利用kcpsm3控制lcd显示 平台:ise 10.1, picoblaze, Spartan3e 开发板 说明:综合按键和lcd、led的功能,思想简单,需要新技术,适合想在fpga方面深造的人。-using kcpsm3 for lcd display platform: ise 10.1, picoblaze, Spartan-3E FPGA Starter Kit Board comment: involve lcd/led/switch, simple mind bu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1106582
    • 提供者:kn
  1. FPGA_lizi

    0下载:
  2. FPGA实例,ADC0809,DAC0832接口电路程序,LCD控制VHDL程序与仿真,等实例,验证通过.-FPGA.VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:66189
    • 提供者:suyufeng
  1. bin_copy

    0下载:
  2. FPGA驱动12864汉字显示源代码,12864是16个引脚的带字库的液晶显示模块-12864 Chinese character display FPGA-driven source code, 12864 is a 16-pin LCD display module with a font
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3276544
    • 提供者:tdgyh
  1. 100503

    0下载:
  2. FPGA有价值的27个编程例子。包括LED控制,LCD控制,ASK调制与解调,DAC0832接口电路程序-27 example about FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-05-06
    • 文件大小:1278533
    • 提供者:allen cen
  1. Verilog000

    1下载:
  2. FPGA的学习,熟悉QuartusII软件的各种功能,各种逻辑算法设计,接口模块(RS232,LCD,VGA,SPI,I2c等)的设计,时序分析,硬件优化等,自己开始设计简单的FPGA板子。 ③、NiosII的学习,熟悉NiosII的开发流程,熟悉开发软件(SOPC,NiosII IDE),了解NiosII的基本结构,设计NiosII开发板,编写NiosII C语言程序,调试板子各模块功能。-Verilog语言的学习,熟悉Verilog语言的各种语法。 ②、FPGA的学习,熟悉
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:22794382
    • 提供者:onejacky
  1. fpga

    0下载:
  2. vhdl和c编写,fpga结合单片机完成测频计的功能,fpga主要完成频率的测量并把数据发送给单片机,单片机控制12864液晶完成显示-vhdl and c preparation, fpga of the single chip to complete the function of frequency meter, fpga major to complete the measurement frequency and the data sent to the MCU, MCU contro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2596259
    • 提供者:xxhlshe
  1. LCD

    0下载:
  2. EP2C8Q208_Quartus_V8.0 基于FPGA实现LCD VHDL代码-EP2C8Q208_Quartus_V8.0 FPGA-based implementation LCD VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:474464
    • 提供者:zkzkzk
  1. 1602A-VHDL

    0下载:
  2. --利用FPGA驱动LCD显示中文字符"年"的VHDL程序。 --文件名 :lcd1602.vhd。 --功能 : FGAD驱动LCD显示中文字符"年"。-- Using FPGA-driven LCD display Chinese characters " year" VHDL program.- File Name: lcd1602.vhd.- Function: FGAD drive LCD display Chinese characters " ye
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1243
    • 提供者:王达
  1. VGA-LCD

    0下载:
  2. vga_lcd,显示是应用较广的一种技术,是led大屏的技术基础,对于学习led大屏技术有着很大的帮助。-VGA LED LCD VHDL FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:641534
    • 提供者:
« 1 23 4 5 6 7 »
搜珍网 www.dssz.com