CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA LCD VHDL

搜索资源列表

  1. lcddriver

    0下载:
  2. 基于FPGA的lcd的驱动程序,用VHDL语言编写-FPGA-based driver lcd with VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:11945
    • 提供者:ghost
  1. lcd_driver

    0下载:
  2. 用fpga驱动lcd的原代码,是用vhdl语言实现的-drive lcd by fpga,the source program is written by vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1075
    • 提供者:huzhaoji
  1. VGAVesaDdc_pinout_files

    0下载:
  2. vhdl code for using lcd in an fpga project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:46144
    • 提供者:Sara
  1. db15-vga-pinout_files

    0下载:
  2. vhdl code for using lcd in a fpga project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:84489
    • 提供者:Sara
  1. SINGT

    0下载:
  2. 本程序可能为FPGA的使用都实现LCD显示的VHDL程序。经过实验,可用,得以过验证。-This procedure may be used for the FPGA are achieved LCD display VHDL program. Through experiments, available to been verified.
  3. 所属分类:Software Testing

    • 发布日期:2017-04-02
    • 文件大小:2385
    • 提供者:huwei
  1. lcd1602_vhdl_code

    0下载:
  2. 液晶lcd1602的vhdl源代码,测试FPGA上的LCD1602程序,下载到开发板就可使用-LCD lcd1602 the vhdl source code, test FPGA on the LCD1602 program downloaded to the development board can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1286
    • 提供者:kevin
  1. qudong

    0下载:
  2. 利用FPGA驱动LCD显示中文字符“年”的VHDL程序。-buhuia
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1132
    • 提供者:
  1. process-simulation

    0下载:
  2. 20多个FPGA设计实例(程序+仿真图),包含LED控制,LCD控制,出租车计价器VHDL程序与仿真,波形发生程序,步进电机定位控制系统VHDL程序与仿真等等,VHDL语言编译。-More than 20 instances of FPGA design (process+ simulation map), contains the LED control, LCD control, taxi meter VHDL procedures and simulation, waveform proc
  3. 所属分类:software engineering

    • 发布日期:2017-05-03
    • 文件大小:1281787
    • 提供者:candice
  1. VGA_1024_768

    0下载:
  2. VGA入门实例,已通过验证,可放心下载,在VGA上显示彩条.-VGA entry instance, has been validated, can rest assured that download, the VGA display of color.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1094589
    • 提供者:陆存希
  1. clock_vhdl

    0下载:
  2. 使用quartus ii开发的FPGA电子时钟的VHDL源代码,分模块写法,在1602液晶上显示,具有走时,调节时间功能-Using quartus ii the development of electronic clock FPGA VHDL source code, sub-module written in the 1602 LCD display, with travel time, settling time function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:617981
    • 提供者:陈飞
  1. lcd_driver

    0下载:
  2. 基于FPGA的LCD液晶驱动,用VHDL语言编的 基于FPGA的LCD液晶驱动,用VHDL语言编的-FPGA-based LCD LCD driver compiled with VHDL, FPGA-based LCD LCD driver, VHDL language series
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2518
    • 提供者:
  1. Practica-3

    0下载:
  2. Traducción de texto o de páginas web Quizás quisiste decir: descripcion de codigo en fpga para desplegar caracteres en lcd Escribe texto o la dirección de un sitio web, o bien, traduce un documento. Cancelar traducción del españ ol al ing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:4561
    • 提供者:Jacob
  1. topone

    0下载:
  2. 基于火龙刀开发板的FPGA和PC的UART串口通信的VHDL实现,支持LCD实现分页显示和LED 数码管显示。-FPGA and PC UART communication module implemented by VHDL, running on Dragon platform, with support of LCD and LED display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:19246
    • 提供者:bingo
  1. 1602

    0下载:
  2. 关于lcd1602显示控制,作用于FPGA显示一连串字符串代码。-the control of lcd 1602 use the vhdl language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:4580
    • 提供者:shenling
  1. Rwummayiie

    1下载:
  2. 研究了传统误码仪的工作原理与结构,并运用VHDL语言在FPGA芯片上模拟实现了绝大部分的传统误码仪的功能,,如LCD显示出来驱动driver,串口通信驱动driver,误码测试,数据存储芯片驱动driver等功能. -Study the working principle and structure of the traditional BERT, and the use of VHDL language to simulate most of the traditional BERT fu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:4050844
    • 提供者:快捷的
  1. LCD_Display

    0下载:
  2. the code displays the ascii array of data to a character LCD. the code is written in vhdl and tested on an FPGA device
  3. 所属分类:Other systems

    • 发布日期:2017-12-06
    • 文件大小:2844
    • 提供者:shahzad
  1. copy_DDS

    0下载:
  2. 利用FPGA驱动LCD显示中文字符的VHDL程序-Use of FPGA-driven LCD display Chinese characters of the VHDL program-VHDL program using FPGA drive the LCD display Chinese characters-Use of FPGA-driven LCD display Chinese characters of the VHDL program
  3. 所属分类:Other systems

    • 发布日期:2017-11-18
    • 文件大小:1779801
    • 提供者:kangxiaorong
  1. FPGAgame

    1下载:
  2. 基于FPGA的俄罗斯方块VHDL逻辑代码,通过VGA显示在液晶屏幕上,基本功能完全实现-VHDL logic code Tetris FPGA-based VGA display on the LCD screen, the basic functions of the full realization of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-02
    • 文件大小:3849216
    • 提供者:徐宏
  1. UART_VHDLCodes

    0下载:
  2. 基于VHDL的异步串口收发器,在FPGA上设计Uart接收模块实现从pc接收串口数据; 在FPGA上设计Uart发送模块,把从pc接收的数据的16进制值加1再发送给PC; 设计单片机和FPGA接口模块,把接收到的数据送给单片机,并显示在LCD上 -VHDL-based asynchronous serial transceivers Uart receive module in the FPGA design from pc to receive serial data desig
  3. 所属分类:Com Port

    • 发布日期:2017-11-14
    • 文件大小:427172
    • 提供者:katheqiu
  1. 1602lcdclock

    0下载:
  2. 使用vhdl语言在fpga平台上制作lcd电子钟,对于初学者,是一段很好的参考代码-Using VHDL language in fpga platform production LCD electronic clock, for beginners, is a very good reference code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:1954
    • 提供者:杜彬
« 1 2 3 4 5 67 »
搜珍网 www.dssz.com