CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA Serial port

搜索资源列表

  1. vhdl

    1下载:
  2. 利用vhdl语言实现(7,4)汉明码编码过程,在FPGA上实现,并且通过串口发送个计算机,在计算机上显示。-Use vhdl language (7,4) Hamming code encoding process, implemented on the FPGA, and send a computer through the serial port, the display on the computer.
  3. 所属分类:Other systems

    • 发布日期:2016-12-23
    • 文件大小:1024
    • 提供者:王梦梦
  1. TX_RX

    0下载:
  2. FPGA用verilog实现串口和电脑的字符串以及单字符精准无误通信,即通过电脑向FPGA发送任一长度数据,FPGA返回PC相同的数据。波特率为9600,本例程为了得到精准的波特率使用了50M时钟的3倍频,测试可用,如有不明的地方,可以给我留言-FPGA implementation using verilog string and the computer serial port and single-character accurate communication, 9600, FPGA u
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3475137
    • 提供者:冷酷豪迈
  1. SDRAM_96M

    0下载:
  2. 基于FPGA的SDRAM串口实验,verilog语言写的,附件里是做实验的工程,连上串口,下进去就有数据了,波特率9600,一个停止位,SDRAM时钟是96MHz,数据时FPGA自动产生的,正确输出结果是00到FF递增一,再循环。这个工程警告比较少,基本是故意为之的警告,时序也已经收敛。-FPGA-based SDRAM serial experiments, verilog language written annex is to do the experiment works, even o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5591013
    • 提供者:Grace
  1. SCH

    0下载:
  2. DTMB GB20600-2006 terrestrial dig FPGA or CPLD reads the SD card IP tell us how to divide frequency f UMC 90nm design models. please re UMMC 90nm models. Please read the Bluetooth serial port via the pho scope FPGA code AG 0.35u
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:935
    • 提供者:sehak/yoo
  1. UART_rec

    0下载:
  2. 用Verilog语言写的串口接收程序。通过串口助手发送数据,在数据输出端可以看到发送的数据。(需要自己分配FPGA引脚)-Verilog language used to write the serial receiver. Send data through the serial port assistant. It can be seen at the data output terminal of the data transmission. (Need to assign your ow
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1382
    • 提供者:毛毛
  1. Receiver

    0下载:
  2. FPGA SPI串行收发数据全双工程序开发,使用Verilog HDL开发语言-FPGA SPI serial port to send and receive data all double engineering sequence development, using Verilog HDL language development
  3. 所属分类:Other systems

    • 发布日期:2017-05-04
    • 文件大小:130134
    • 提供者:鸿雁
  1. EMIFA_FPGA

    0下载:
  2. DSP和FPGA通过EMIFA接口通信,通过串口打印数据来观察,DSP向FPGA中写入数据,FPGA接收数据后加1,DSP读取处理后的数据并通过串口打印显示-DSP and FPGA interface communication via EMIFA, print data through the serial port to observe, write data to the FPGA, DSP, FPGA after receiving data plus 1, the data read
  3. 所属分类:DSP program

    • 发布日期:2017-05-05
    • 文件大小:15077
    • 提供者:许辉
  1. URAT

    0下载:
  2. 在ISE环境下,用VHDL语言实现RS232串口设计,实现串口通信。通过串口调试工具向 0000000UART发送16进制数,FPGA将UART接收到的串行数据转换为并行数据,并在8个 LED灯上输出显示;同时,并行数据又被重新转换为串行数据,重新送给RS-232接口,并在 串口调试工具上再次显示,SW0为复位键。 比如:串口调试工具发送两位16进制数,然后能在LED上显示,并且重新在串口调试工 具上显示。串口调试工具设置:波特率设为9600,默认奇校验。-In the IS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:403088
    • 提供者:panda
  1. SPI_STM32_FPGA

    0下载:
  2. STM32F1与FPGA通过SPI进行通信,我上传的是32部分的程序,已经通过串口测试成功。此程序可拓展为和单片机,DSP通过SPI进行通信-STM32F1 and FPGA communication through the SPI, I uploaded the 32 part of the procedure has been successfully tested through the serial port. This program can be extended to and s
  3. 所属分类:SCM

    • 发布日期:2017-05-17
    • 文件大小:4140882
    • 提供者:蔡振东
  1. PC_FPGA_Communication

    0下载:
  2. 本软件利用串口实现了电脑和FPGA的通讯。采用vhdl。就是为了FPGA开发的基础软件。-This software uses serial port to realize the communication between computer and FPGA. Using vhdl. this is the basic software to develop the FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1514087
    • 提供者:kc218
  1. ZYH

    0下载:
  2. (7,4)汉明译码、串口接收和数码管显示综合实验。在该实验中,要求能够利用计算机的串口发送汉明码字(可以是没有错误的汉明码字,也可以是有一个比特错误的汉明码字);然后利用FPGA进行串口数据接收;接收后进行(7,4)汉明译码,并将译码后的结果送给七段数码管进行显示。要求使用4个七段数码管,其中2个数码管用于显示从串口接收到的数据,另一个数码管用于显示汉明译码后的正确信息比特,最后一个数码管用于指示出错比特的位置。-(7,4) Hamming decoding, receiving the ser
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:308258
    • 提供者:zyhhyz
  1. uarttx

    0下载:
  2. fpga板 verilog写的串口发送数据的模块,主要可以看下思路,也是可用的-Fpga board verilog write serial port to send data module, the main can look at ideas, is also available
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-11
    • 文件大小:1050
    • 提供者:徐林
  1. TLC5620

    0下载:
  2. 用FPGA做的8位DAC的驱动,数据通过串口发送,测试精度一般。-Drive the use of FPGA in the 8 bit DAC, via a serial port to send data, test precision.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-17
    • 文件大小:997376
    • 提供者:高宪鹏
  1. uart

    0下载:
  2. (1)利用串口实现发送功能,即利用计算机上的串口调试小助手定时显示“HELLO WORLD”字样;(2)利用串口实现接收功能,并将接收到的字符再通过串口发送到计算机上的串口调试小助手上显示,例如:利用串口小助手的手动发送功能向串口发送“Good Good Study,day day up”,然后利用FPGA实现转发,将字符从串口发送回串口调试小助手显示。-(2) the use of serial port to achieve the receiving function, and the r
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-15
    • 文件大小:1146880
    • 提供者:王宁
  1. uart_tx

    0下载:
  2. 基于FPGA的串口发送模块设计及仿真,可移植代码-Design and Simulation of serial port sending module based on FPGA,portable code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-12
    • 文件大小:177973
    • 提供者:王子珊
  1. UART_Rx_Tx

    0下载:
  2. fpga串口uart,实现fpga与电脑、单片机之间的通信-The fpga uart serial port, realize the fpga and computer, the communication between the SCM (single chip micyoco)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-14
    • 文件大小:4255050
    • 提供者:讼淳
  1. kehshechenxu

    1下载:
  2. 编制一全双工UART电路,通过试验箱MAX202E转换成RS232电平,与计算机进行通讯实验,设置8个按键,按键值为ASIC码“1”~“8”,通过串口发送给计算机,在计算机上显示键值,同时在数码管最高位显示;计算机可发送“0”~“F”的ASIC码,FPGA接收后在数码管低位显示0~F。通过按键可设置波特率。 要求:波特率为三种 1200、2400、9600,由1个按键选择,3个LED分别指示; 数据格式为1位起始位、8位数据位和一位停止位; 上位计算机发送接收软件可使用
  3. 所属分类:其他

  1. uartverilog

    0下载:
  2. FPGA利用串口、FIFO实现串口收发数据(FPGA using serial port, FIFO serial transceiver data)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:196608
    • 提供者:mzl127
  1. uart_2_pc

    0下载:
  2. 实现FPGA和PC通过串口传输数据,已经通过验证,可以结合自己的设计直接拿来用(ealize FPGA and PC to transmit data through serial port)
  3. 所属分类:其他

  1. uart_test

    0下载:
  2. 描述了利用spatran6系列的FPGA,进行串行异步通信的uart串口实现代码(Describes the use of spatran6 series of FPGA, serial asynchronous communication uart serial port to achieve the code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:360448
    • 提供者:cy白菜
« 1 2 3 4 5 6 7 89 »
搜珍网 www.dssz.com