CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA verilog

搜索资源列表

  1. ADC0809

    0下载:
  2. ADC0809为8位AD,程序为利用FPGA实现ADC0809对于信号的模数转换。-ADC0809 8-bit AD, procedures for the use of FPGA implementation ADC0809 analog to digital conversion for the signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:349490
    • 提供者:stt
  1. Wireless-FPGA

    0下载:
  2. 《无线通信FPGA设计》书的源代码 verilog 代码 matlab 程序-FPGA verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:214464
    • 提供者:sas
  1. FPGA-verilog-fenpin

    0下载:
  2. FPGA最常用的功能,分频,利用verilog HDL语言实现的,非常适合初学者。-FPGA most commonly used functions, frequency, using verilog HDL language, and is ideal for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:292823
    • 提供者:范范
  1. FPGA-Prototyping-By-Verilog-Examples

    1下载:
  2. 通过Verilog例子了解FPGA原型设计(书和源码)-FPGA Prototyping By Verilog Examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-08-06
    • 文件大小:17204224
    • 提供者:guorui
  1. DDS-in-Verilog

    0下载:
  2. Verilog编写基于FPGA的DDS实现,内含源代码,希望对大家有所帮助。-DDS in Verilog FPGA-based implementation, including source code, we want to help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:464658
    • 提供者:haby
  1. 1day11-keyboard

    0下载:
  2. 清华大学电子课程设计:Verilog语言编写,可在QuartusII完全正确运行,FPGA下载,键盘按键输出相对应数字,有防抖功能-Verilog language, can be run in QuartusII entirely correct, FPGA download, keyboard keys corresponding to the output figures, anti-shake function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1264450
    • 提供者:薛芬
  1. FPGA-realise-the-SPI-code

    0下载:
  2. 用verilog实现的SPI程序,还在modelsim中编写了testbetch文件,非常适合初学者做SPI实验,做一遍包括quartus应用及modelsim仿真都会了-Implementation of SPI with verilog program, also write the testbetch modelsim file, ideal for beginners to do SPI experiment, do it again, including quartus and mod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:300602
    • 提供者:万鹏
  1. Digital-Signal-Processing-with-FPGA

    0下载:
  2. FPGA结合DSP设计,如FIR、IIR滤波器,CORDIC算法,多重采样率信号处理,FFT,有对应的VHDL/Verilog 代码code-FPGA Combines with DSP, FIR 、IIR Digital Filters,CORDIC,FFT,Adaptive Filters,VHDL/Verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10501611
    • 提供者:rickdecent
  1. verilog

    0下载:
  2. 这是一本介绍verilog语言的书籍,verilog语言应用于FPGA,可实现诸多实时处理模块,例如实时OFDM发射机和接收机的制作-verilog for FPGA,real time OFDM Transmitter and receiver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:264982
    • 提供者:k
  1. XILINX-FPGA-Startup-Tutorial

    0下载:
  2. shows how to USE Verilog to program Flash LED1-shows how to USE Verilog to program Flash LED1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:381620
    • 提供者:ashley
  1. my6

    0下载:
  2. fpga verilog程序,实现诸多模块功能,包括,数码管显示,与ad,da通信,与mcu通信,以便通过mcu将高速ad值显示在lcd显示器上。-fpga verilog program to achieve a number of modules, including, digital display, with the ad, da communication, communication with mcu, mcu high-speed through the ad to the val
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3621177
    • 提供者:liu
  1. FPGA-verilog

    0下载:
  2. FPGA代码verilog,其中包含多个常用的代码程序,非常适合初学者参考-FPGA code verilog, which contains more than one common code procedures, reference is ideal for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:991833
    • 提供者:虎斑兜兰
  1. FPGA-verilog-matlab

    0下载:
  2. 《无线通信FPGA设计》一书中例子的Matlab及verilog代码,非常详细-"Wireless FPGA design," a book example of Matlab and verilog code, very detailed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:209201
    • 提供者:虎斑兜兰
  1. FPGA-verilog

    0下载:
  2. 网上很有名的CB fpga大神的杰作,很是值得参考,适合入门者-Online very famous CB fpga great god s masterpiece
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1361101
    • 提供者:caohongze
  1. Uart

    1下载:
  2. fpga verilog语言,写的串口通讯,经测试完全没有问题-fpga verilog uart communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1988650
    • 提供者:孙祥龙
  1. tlv5618-driving-of-verilog

    0下载:
  2. 这个程序是在quartus的开发环境下,用verilog 语言写的tlv5618的驱动和控制程序,希望对你们有用。 -This program is in quartus development environment, using verilog language written tlv5618 driver and control program, you want to be useful.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:4167
    • 提供者:xuzhengan
  1. verilog

    0下载:
  2. 数字信号除了的FPGA实现的Verilog源代码,之前发过一份是VHDL,各有所需吧,需要的看看吧-Digital signal in addition to the realization of the FPGA Verilog source code, send before a is VHDL, each have need it, need to look at it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:326673
    • 提供者:林卓胜
  1. FPGA-Verilog-sourcecode

    0下载:
  2. 《无线通信FPGA设计》这本书中所涉及到的所有verilog的源代码-Involved in the FPGA design of wireless communications, " This book verilog source code
  3. 所属分类:source in ebook

    • 发布日期:2017-03-26
    • 文件大小:131111
    • 提供者:
  1. verilog-beijingdaxue

    0下载:
  2. 资料北大 fpga verilog 课件 内部资料 -FPGA verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1619220
    • 提供者:吴巍
  1. Verilog_lattice-fpga

    0下载:
  2. Verilog教程lattice FPGA-Verilog tutorial Lattice FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:143852
    • 提供者:qiumh
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 50 »
搜珍网 www.dssz.com