CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - I2C VHDL

搜索资源列表

  1. i2c_ctrl

    0下载:
  2. 程序是用VHDL语言在quartus开发环境中实现的I2C通信的源代码-VHDL language program is the development environment in quartus I2C communication to achieve the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2251
    • 提供者:杨伟军
  1. I2CIP

    0下载:
  2. this an i2c ipcore source .-i2cipcore vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:410207
    • 提供者:nonghero
  1. I2C_register

    0下载:
  2. ov7670的寄存器赋初值文件,用verilogHDL编写,设定为rgb格式,640*480大小。-ov7670 register initial value file, with verilogHDL write, set to rgb format, 640* 480 size.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2608
    • 提供者:
  1. Package

    0下载:
  2. Package consists of two pdf files: i)cdr project: theory and implementation of vhdl ii)I2C bus controller: xilinx implementation of uC interface on CPLD Package consists of 7 vhdl files: string_detector: detects the continuous string of 11
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4604811
    • 提供者:Sharav
  1. i2cslave_latest.tar

    0下载:
  2. I2C Slave Core in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1295649
    • 提供者:pop
  1. final

    0下载:
  2. vhdl code for i2c communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3939
    • 提供者:pradeep
  1. I2C_EPM3128(v1.00)

    0下载:
  2. 本程序是使用 VHDL 语言开发的, 能够实现ALTERA CPLD-EPM3128A 通过I2C总线对EEPROM的读写。-This program is developed using VHDL language can of ALTERA CPLD-EPM3128A through the I2C bus EEPROM read and write.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:409891
    • 提供者:cheng guanghui
  1. I2C_ise7_bak

    0下载:
  2. Uncomment the following library declaration if instantiating any Xilinx primitives in this code. library UNISIM use UNISIM.VComponents.all I2C DRIVE IN VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:970053
    • 提供者:mehdi
  1. Block1_restored

    0下载:
  2. 使用VHDL写的I2C通信模块,这个模块包括和单片机通信的部分和24C16通信的的部分-Use VHDL to write I2C communication module, this module include the single-chip communications to and 24C16 communication of part of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:31073
    • 提供者:招海伟
  1. VHDL_i2cs_rx_CPLD

    0下载:
  2. CPLD imlementation of I2C BUS Controller. The descr iption has been made by VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:20457
    • 提供者:ali
  1. I2Cvhdl

    0下载:
  2. 采用vhdl语言编写的串行总线I2c程序-The serial bus I2c procedures using VHDL language
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:35648
    • 提供者:pei
  1. main_i2c

    0下载:
  2. the complete i2c core written in vhdl and tested on sparten 6 fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:1678158
    • 提供者:shahzad
  1. _24_iic_wr

    0下载:
  2. VHDL语言完成的I2C控制程序,对I2C总路线实现写操作。希望对初学者有所帮助。-Complete VHDL language the I2C control program, the general line of I2C write operation. I hope to be helpful for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:829267
    • 提供者:january
  1. fVerrilog_Devr

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BBCD码,加法器,减法器,简简单易懂状态机,四位比较器,7段数码管,i2c总线,lcd液晶LCD显示出来,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟 可直接使用。 -Friends, I Jawen. See previous upload a CPLD Development Board VHDL so
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-05
    • 文件大小:3170695
    • 提供者:qtzx
  1. I2C_Slaver

    0下载:
  2. CPLD作为I2C的从机,VHDL语言编写-I2C Slaver componet,VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:2614
    • 提供者:dinghui
  1. IPCores_iic_8051

    0下载:
  2. I2C_IP_Core, 使用VHDL 和VERLOG编写,并有文档说明-I2C IP Core, VHDL/Verilog
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:1453371
    • 提供者:zhangyang
  1. I2C_i2c

    0下载:
  2. fpga例程:用fpga实现i2c串口通讯的vhdl详细代码,完整的quartus工程,可直接用-fpga routines: i2c serial communication with fpga implementation details of vhdl code, complete quartus project, can be directly used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:860830
    • 提供者:刘畅
  1. i2c_master_slave_core_latest.tar

    0下载:
  2. i2c 控制器 verilog /vhdl 源码,敬请使用-i2c control VERILOG /VHDL SOURCE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4562684
    • 提供者:陈成
  1. i2c_7113

    0下载:
  2. 利用I2C配置SAF7113的代码,利用vhdl语言编写。-Config the SAF7113 via I2C,write in VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2769
    • 提供者:likai
  1. ly4638_I2Cdesign

    0下载:
  2. I2C协议的VHDL设计,利用I2C来实现温度传感器的显示并在FPGA上实现。-VHDL I2C protocol design, to achieve the display using I2C temperature sensor and implemented on FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3588978
    • 提供者:刘洋
« 1 2 ... 8 9 10 11 12 1314 »
搜珍网 www.dssz.com