CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - IP FFT

搜索资源列表

  1. 1024_FFT

    1下载:
  2. 1024点FFT快速傅立叶变换,包含说明文档和VHDL源代码,16位输入/输出,带DMA功能,xilinx的ip-1024-point FFT fast Fourier transform, and includes documentation, VHDL source code, 16 input / output, with DMA function, the ip xilinx
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:629675
    • 提供者:刘超
  1. fft_ug

    1下载:
  2. altera的FFT IP核的用户手册,介绍了如何使用ALTERA IP核生成FFT核,如何设置参数并讲述了如何仿真,适用于通信方面的FPGA设计工程师,学生。-altera' s FFT IP core user manual describes how to use the ALTERA IP core generated FFT core, how to set parameters and describes how to simulate, for communications, FP
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-24
    • 文件大小:1035174
    • 提供者:zhangdong
  1. fft256

    0下载:
  2. quartus ii 中利用ip核生成fft模块,实现256点fft功能-quartus ii the use of nuclear generation fft ip module to achieve the 256 point fft function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-02
    • 文件大小:14550260
    • 提供者:any
  1. FFT-IPCORE

    0下载:
  2. QUARTUSII FFT的IP核,用VHDL实现。-QUARTUSII FFT IP core using VHDL implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-26
    • 文件大小:8720782
    • 提供者:vanessa
  1. FFF-IP-Core

    0下载:
  2. Altera FFT兆核函数的使用说明,希望对大家有所帮助。-The use of Altera FFT trillion nuclear function, we want to help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1148158
    • 提供者:lg
  1. FFT-IP-CORE--of-Quartus

    0下载:
  2. Quartus中fft ip core的使用一点心得,希望对大家有所帮助。-The Quartus fft the ip core to use a little experience, we hope to help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:313514
    • 提供者:lg
  1. fpga-fft

    2下载:
  2. xlinx fpga实现fft功能,利用ip核,包含源程序及完整工程文件,直接就能使用-The fft function xlinx fpga ip-core contains the source code and complete the project file, and can be used directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:859721
    • 提供者:bambod
  1. fft

    0下载:
  2. 基于IP核的FFT,可以实现FFT,同时可以实现IFFT-IP core based FFT, can achieve FFT, IFFT can be achieved simultaneously
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:10815674
    • 提供者:祥子
  1. fft

    0下载:
  2. altera公司fft ip核的运用。语言是verilog.-Altera company s fft ip. Language verilog.
  3. 所属分类:MPI

    • 发布日期:2015-01-08
    • 文件大小:11977728
    • 提供者:shiyuan
  1. fft

    0下载:
  2. 利用快速傅里叶变换FFT的ip核和fir的ip核制作的自适应滤波器-use fft ip and fir ip to make a Adaptive Filter
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:414001
    • 提供者:王强
  1. fft-IPcore

    0下载:
  2. verilog编写,基于ISEfft的ip核研究,数据生成采用matlab,有仿真截图-verilog written, ip nuclear research ISEfft based on data generated using matlab, there are simulation screenshot
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6795209
    • 提供者:段彦亮
  1. FFT

    0下载:
  2. 基于Altera Cyclone II 系列FPGA嵌入高性能的嵌入式IP核(Nios)处理器软核,实现了基于FFT的音频信号分析-Altera Cyclone II FPGA family based embedded high-performance embedded IP core (Nios) soft core processor to achieve a FFT-based audio signal analysis
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-13
    • 文件大小:2403
    • 提供者:季云
  1. fft-ip-core

    0下载:
  2. 通过调用ISE中的fft IPcore实现了fft计算,输入数据通过textio从文本文件读入,处理后的数据再读入文本中。由于数据精度问题,与MATLAB计算的结果存在一定的误差-By calling the ISE of FFT IPcore implements the FFT computation, the input data through textio read a text file, after processing the data to read the text aga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6157600
    • 提供者:
  1. fft

    0下载:
  2. 基于fpga的fft变换,用ip核实现。用vhdl编写-Fpga based fft transform, use ip core implementation. Written in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4432076
    • 提供者:任天鹏
  1. cf-fft

    0下载:
  2. 用ip核实现fft。用vhdl编写。altera的fpga-Ip core implementation using fft. Written in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5502235
    • 提供者:任天鹏
  1. FFT

    0下载:
  2. verilog xilinx IP实现FFT仿真-Verilog xilinx IP implementation FFT simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2973433
    • 提供者:欧阳
  1. fft512

    1下载:
  2. 基于verilog IP核的FFT工程,512位FFT运算,(FFT engineering based on Verilog IP kernel and 512 bit FFT operation,)
  3. 所属分类:其他

    • 发布日期:2017-12-16
    • 文件大小:54045696
    • 提供者:paulwww
  1. ctrl_fft

    0下载:
  2. fpga中fft ip 核 流模式控制程序,(状态机)(fft_crtl the control masine of fft in fpga)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:15855616
    • 提供者:fant
  1. pipelined_fft_64-master

    0下载:
  2. Pipelined FFT/IFFT 64 points (Fast Fourier Transform) IP Core User Manual
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:100352
    • 提供者:Ethan12
  1. exp_fft_test_724

    0下载:
  2. 在quartus软件中调用FFT的IP核,编辑IP核的驱动模块,使得IP核读入数据进行处理,输出数据。使用modelsim进行联合仿真。(In the quartus software, the IP kernel of FFT is called, and the driver module of the IP kernel is edited, so that the IP kernel is read into the data for processing and output data
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:27558912
    • 提供者:XHF72
« 1 2 34 5 »
搜珍网 www.dssz.com