CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - LCD in vhdl

搜索资源列表

  1. LCD1602-display-nian

    0下载:
  2. 这个程序可以显示汉字年 使用的液晶模块是1602 编程语言是VHDL-This program can display the Chinese characters used in LCD module is 1602 programming language is VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1327
    • 提供者:朱振军
  1. DS6__LCD_VHDDe

    0下载:
  2. 使用vhdl语言开发的16x2液晶LCD显示出来模块的驱动drivver程序源码。在quartus中编译完成,可直接运行 可直接使用。 -16x2 LCD display out using vhdl language development the module the drive drivver program source. Compiled in quartus can be run directly can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:437724
    • 提供者:wangjiantin
  1. LCD1602

    0下载:
  2. 在DE2_70开发板上运用VHDL语言实现LCD显示,适用于初学VHDL的一般人群-The use of VHDL language in DE2_70 development board LCD display, suitable for beginners VHDL general population
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:543531
    • 提供者:王杏歌
  1. 1602lcdclock

    0下载:
  2. 使用vhdl语言在fpga平台上制作lcd电子钟,对于初学者,是一段很好的参考代码-Using VHDL language in fpga platform production LCD electronic clock, for beginners, is a very good reference code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:1954
    • 提供者:杜彬
  1. AVA6SV2_LCD

    0下载:
  2. Vhdl Code for lcd 16*2 . display text and how to rotate a text in lcd with pure vhdl code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:80453
    • 提供者:mehdi
« 1 2 3 4 5»
搜珍网 www.dssz.com