CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - LED verilog

搜索资源列表

  1. spartan3e_ps2

    0下载:
  2. verilog语言编写在spartan3e板子上实现,利用板子上的8个LED灯显示键盘输入的编码值。-the Verilog language spartan3e board, 8 LED lights on the board display keyboard input encoded value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:838718
    • 提供者:冬瓜
  1. run_led

    0下载:
  2. 用verilog写的led跑马灯的程序,在xilinx开发板上经过验证的-Verilog write led Marquee program xilinx development board proven
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:214576
    • 提供者:李旭瑞
  1. fVerrilog_Devr

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BBCD码,加法器,减法器,简简单易懂状态机,四位比较器,7段数码管,i2c总线,lcd液晶LCD显示出来,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟 可直接使用。 -Friends, I Jawen. See previous upload a CPLD Development Board VHDL so
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-05
    • 文件大小:3170695
    • 提供者:qtzx
  1. shumaguandongtaixianshi

    0下载:
  2. 实现开发板上8 个数码管动态显示0~7。通过这个实验,掌握采用Verilog HDL 语言编程实现7 段数码管显示译码器以及数码管动态扫描显示的方法。-Development board 8 digital tube dynamic display from 0 to 7. Through this experiment, master the 7-segment LED display decoder and digital tube dynamic scan display method
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:459248
    • 提供者:王恒
  1. PWMkongzhiLEDxianshi

    0下载:
  2. 实现PWM 输出控制LED 显示。通过这个实验,掌握采用Verilog HDL 语言 编程实现PWM 输出控制LED 的显示方法以及PWM 控制的工作原理。-PWM output to control LED display. Through this experiment, master PWM output to control LED display as well as the works of the PWM control using Verilog HDL language p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:449814
    • 提供者:王恒
  1. anjianxiaochudoudongkongzhiLED

    0下载:
  2. 实现按键控制LED 亮灭。通过这个实验,掌握采用Verilog HDL 语言编程实 现按键控制LED 亮灭及按键消抖方法。-Buttons control the LED light off. Through this experiment, master Verilog HDL language programming buttons control the LED lights off and the key debounce.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:452695
    • 提供者:王恒
  1. LEDshanshuodeng

    0下载:
  2. 让实验板上的8 个LED 周期性的闪烁。通过这个实验,熟悉并掌握采用计数 与判断的方式来实现分频的Verilog HDL 的编程方法以及Quartus II 软件的使 用方法和开发流程。-The experimental board 8 LED periodically flashes. Through this experiment, the familiar and the master counts and judgment to achieve the Verilog HDL p
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:453400
    • 提供者:王恒
  1. 8LED

    0下载:
  2. QuartusII平台下Verilog语言实现的8段LED显示程序-Verilog language QuartusII platform 8-segment LED display program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-25
    • 文件大小:2929
    • 提供者:FantasyDR
  1. rom_coe

    0下载:
  2. 这是一个用verilog编写的用rom核控制led显示的左移右移,并有按键控制-This is written in verilog rom nuclear control led left shift right shift, and key control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:308157
    • 提供者:Li
  1. jiaotongxinghaodeng

    0下载:
  2. 利用Verilog HDL语言编写的交通信号灯。通过led模拟信号灯,并通过数码管显示倒计时。-Traffic lights using Verilog HDL language. Led analog signal lights and digital display countdown.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:765389
    • 提供者:xiaotian
  1. 1.2-led_change

    0下载:
  2. verilog代码控制led改变 使用xlinx开发平台-led_change verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:438598
    • 提供者:mike
  1. 1.1-led_shift8

    0下载:
  2. led_shift verilog代码控制led移位 -the verilog code control led shift
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:525467
    • 提供者:mike
  1. led_decoder

    0下载:
  2. 这个是用verilog语言写的一个点亮LED灯的程序,在DE2最小系统板里验证过-This is to use verilog language written by a light LED lights program, in DE2 minimum system board validated
  3. 所属分类:source in ebook

    • 发布日期:2017-11-25
    • 文件大小:150353
    • 提供者:刘浩
  1. i2c

    0下载:
  2. 用verilog写的I2C读写代码,用拨码开关输入数据,按键写入和读出,在LED数码管上显示。-I2C read and write code written in verilog DIP switch input data, key writing and reading, LED digital tube display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:9168
    • 提供者:wenyangzeng
  1. fPGA_LED

    0下载:
  2. FPGA开发板做的一个简单LED驱动,使用Verilog语言实现- This is an example of a simple 32 bit up-counter called simple_counter.v It has a single clock input and a 32-bit output port module simple_count(input clock , output end of module counter
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-22
    • 文件大小:1685514
    • 提供者:zhanghf
  1. ymq_38

    0下载:
  2. 此代码运用verilog语言实现38译码器,在led来显示结果。-This code use verilog language realization and decoder, in led to display the results.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:2271723
    • 提供者:边茂宣
  1. Traffic_Light

    1下载:
  2. FPGA模拟实现的交通灯控制系统,语言为Verilog,环境为QurtursII,默认情况下按预先设定的时间进行倒计时,支持人工控制模式让某一方向信号灯常亮。信号灯采用LED代替-The FPGA simulation realization of traffic light control system, language, Verilog, environment QurtursII, default preset time countdown, support manual control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:624333
    • 提供者:wicoboy
  1. trafficLED2

    0下载:
  2. 实现基本交通灯的功能,Xilinx Spartan-3E实验板上基于verilog控制主干道和支干道东西南北两组交通灯LED的操控。-To achieve the basic function of the traffic lights, Xilinx Spartan-3E experiment board based on verilog control the handling of the North and South, East and West of the main roads a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:173157
    • 提供者:赵露
  1. seg71

    0下载:
  2. Verilog HDL编写的7段数码管显示程序。-7-segment LED display program written in Verilog HDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:33678
    • 提供者:
  1. lcd

    0下载:
  2. 这是一个用verilog写的LED的控制代码,其中主要是利用状态机的形式实现的-This is a verilog the write LED control code, which is realized in the form of state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:792
    • 提供者:李宁
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 »
搜珍网 www.dssz.com