CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - LED verilog

搜索资源列表

  1. Experiment03

    0下载:
  2. verilog语言编写的程序,实现通过按键控制LED灯的闪烁,通过学习本程序,可以轻松掌握verilog语言的基本语法,了解按键和LED灯的控制原理-verilog language program to achieve flashing LED lights controlled by buttons, by learning this program, you can easily master the basic syntax of verilog language, understand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:171597
    • 提供者:无影
  1. elevator

    0下载:
  2. 用Verilog代码进行电路设计,并在指定可编程FGPA芯片上实现电梯控制器的功能,要能够对多个楼层的请求作出判断。用七段显示器显示当前楼层,led灯表示当前电梯是上还是下状态。-Performed using Verilog code circuit design and realization of the function is specified on the elevator controller chip programmable FGPA, to be able to make a
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:188873
    • 提供者:罗碧
  1. Lab10Part3

    0下载:
  2. Quarturs 环境Verilog文档。用于显示英文字符在7位标准LED显示板。不可以直接使用哦,记得更改对应的module名字。-Quarturs environment Verilog documents. English characters display panel for displaying the seven standard LED. Oh, can not be used directly, remember to change the name of the corres
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1391
    • 提供者:王强
  1. led_water

    0下载:
  2. verilog 写的流水灯的控制程序,亲测好用-verilog led water
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:333541
    • 提供者:lxn
  1. Led_dec

    0下载:
  2. LED decoder code in verilog for Spartan 3 FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:849
    • 提供者:Uzair
  1. LEDdisplay

    0下载:
  2. LED display Code for stopwatch in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1515
    • 提供者:Uzair
  1. led_shift

    0下载:
  2. 在xilinx的ISE上写的LED灯移动的verilog程序-a verilog code for led-shifting which writed with ise 14.2
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1500194
    • 提供者:xyx
  1. allcode

    0下载:
  2. Verilog Source Code Basys2 , SevenSegment and Switch LED Intraction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5117
    • 提供者:shobhit
  1. ir

    0下载:
  2. 这是一个红外遥控程序,可以遥控LED灯,数码管。语言verilog hdl-This is an infrared remote control program that can be remotely controlled LED lights, digital control. Language verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1649060
    • 提供者:liweidi
  1. Ex16_dynamic_seg

    0下载:
  2. led 段码驱动器,verilog hdl编写-led segment driver ,verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:132279
    • 提供者:yinxiupu
  1. qiduanxianshi

    0下载:
  2. Verilog代码段,包括七段数码管显示电路,调试通过的代码哦,很实用-Verilog code segments, including the seven-segment LED display circuit, code debugging through, oh, very practical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:581
    • 提供者:张大江
  1. run_led

    0下载:
  2. verilog顺序操作实现三个LED灯轮流闪烁,产生流程等的效果。-verilog sequential operations to achieve three LED lights flashing alternately, processes, etc. to produce results.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2326
    • 提供者:陈忠德
  1. demoss

    0下载:
  2. FPGA的代码verilog语言编写,包括LED与按键验证,数据选择器,编码器,译码器半加器,全加器,适合初学者,已经在板子调试成功,板子是 睿智IV开发板。-FPGA code verilog language, including LED and key authentication, data selection, encoder, decoder and a half adder, full adder, suitable for beginners, it has been succe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-13
    • 文件大小:21079040
    • 提供者:ruanguopqing
  1. demos2

    0下载:
  2. FPGA的代码verilog语言编写,包括LED流水灯,蜂鸣器,数码管显示。适合初学者,已经在板子调试成功,板子是 睿智IV开发板。-FPGA code verilog language, including LED water lights, buzzers, digital display. Suitable for beginners, it has been successful commissioning of the board, the board is wise IV devel
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:21741323
    • 提供者:ruanguopqing
  1. led1

    0下载:
  2. 基于FPGA(Verilog HDL)LED灯实验-Leds flashing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:320636
    • 提供者:Whiteside
  1. led_test

    0下载:
  2. 开发板上,用来点亮led灯并且通过按键使灯的亮灭变化的Verilog源码-Development board for lit by led lights and buttons to change the bright lights Verilog source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:823
    • 提供者:李伟
  1. key_led

    0下载:
  2. verilog hdl按键控制灯代码 用按键控制哪个led来亮灭功能-Button control lights Codes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2965369
    • 提供者:yin
  1. timer

    0下载:
  2. 使用Verilog编程的秒表,使用basys2板子,同时支持两个秒表计时,有暂停复位功能,计时在七段数码管上显示。-Using Verilog programming stopwatch, use basys2 board, while supporting the two stopwatch with pause reset function, time on the seven-segment LED display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2672297
    • 提供者:peach
  1. 02_run_flash_led

    0下载:
  2. FPGA实现FLASH与led的控制,用verilog语言编写,flash使用外部芯片,值得学习-The FPGA implementation FLASH with led control, written in verilog language, FLASH using external chip, worth learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5432460
    • 提供者:罗强
  1. ML605_LED

    0下载:
  2. ML605_LED 用Verilog HDL编写的LED闪烁的程序,很简单-ML605 LCD Verilog HDL prepared with flashing LED program, very simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2105958
    • 提供者:wangjiali
« 1 2 ... 11 12 13 14 15 1617 18 »
搜珍网 www.dssz.com