CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - LED verilog

搜索资源列表

  1. i2c_lightsensor

    1下载:
  2. 用Verilog HDL编写的光敏传感器AD/DA程序,AD结果显示在LCD上,DA结果控制LED的亮度,相关软件:ISE Design suit,硬件:xilinx FPGA开发板-Verilog HDL prepared with light sensors AD/DA program, AD results are displayed on LCD, DA of controlling LED brightness, software: ISE Design suit, hardware:
  3. 所属分类:Other systems

    • 发布日期:2017-03-04
    • 文件大小:759808
    • 提供者:renyini
  1. AD_TLC549_Driver

    0下载:
  2. AD_TLC549驱动程序,Verilog开发,输出控制led点亮。-A driver that is designed by Verilog program which is enable to driver leds.
  3. 所属分类:Other systems

    • 发布日期:2017-05-07
    • 文件大小:1206618
    • 提供者:
  1. smg_clock

    0下载:
  2. 基于FPGA开发板的数码管时钟代码,可用无误差,分别有时分秒。-a led clock verilog code,it can be used on fpga board,it can dispaly hour、minite and second.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:956
    • 提供者:lee
  1. SegSimplified

    0下载:
  2. 本工程使用verilog HDL和vivado2014集成开发环境实现利用xilinx Basys3开发板上4位数码管显示从0到9999的计数器功能。-This project uses verilog HDL to realise counting 0 to 9999 on the 7-seg LED loaded on Xilinx Basys3 board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1210806
    • 提供者:姚罡
  1. DigitalClock

    0下载:
  2. 交通灯使用Verilog编写的,大家可以-digital led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3612216
    • 提供者:lizhichao
  1. 1

    0下载:
  2. 基于FPGA的花样流水灯,实现多种8个LED多种方式流动的 verilog程序。-FPGA-based pattern water lights, LED achieve a variety of eight various ways flow verilog program.
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-13
    • 文件大小:1898
    • 提供者:陆彧
  1. breath_led

    0下载:
  2. verilog breath led sourece code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:366346
    • 提供者:YesterDAY
  1. led_book

    0下载:
  2. 通过FPGA实现LED点阵效果的显示。使用quartusii平台,verilog编程-Through LED to achieve the display of FPGA matrix effect. Using QuartusII platform, Verilog programming
  3. 所属分类:Other systems

    • 发布日期:2017-05-11
    • 文件大小:2108888
    • 提供者:洪依
  1. LED_KEY

    0下载:
  2. MAX10的led小程序,用Verilog语言写的。分享下,请收下-The code is about MAX10 s led.Share about people.
  3. 所属分类:MPI

    • 发布日期:2017-05-19
    • 文件大小:4691181
    • 提供者:yuhao
  1. boomshakalaka

    0下载:
  2. Verilog实现数字钟,超多功能,移位显示,闹钟设置,移位设置时间,定时秒表,控制LED记录数值等-Verilog digital clock, ultra-versatile, shift display, alarm settings, set the time shift, the timing stopwatch, and other numerical control LED record
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1855242
    • 提供者:wangruiqi
  1. snake

    0下载:
  2. 自己写的verilog贪吃蛇程序,使用vivado2015.2软件编写综合的,硬件平台是xilinx的basys3平台,当检测到碰撞时,led灯会亮起-Write your own verilog Snake program, using the software to prepare a comprehensive vivado2015.2, the hardware platform is the basys3 xilinx platform, when a collision is det
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3788666
    • 提供者:范赛龙
  1. led_display

    0下载:
  2. Verilog HDL 点亮LED灯,程序简单,适合新手练习上手,简单易懂。-Verilog HDL lit LED lights, simple procedures, suitable for beginners to practice to use, easy to understand.
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1182
    • 提供者:刘子易
  1. register

    0下载:
  2. 用Verilog实现了一个基本寄存器,并且用仿真和led灯来显示了读写数据。-Using Verilog to achieve a basic register, and led lights and simulation to show the read and write data.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:601
    • 提供者:east
  1. 145103015

    0下载:
  2. Verilog source code for using keypad module with zybo fpga board to take input and show output to onboard leds and led module connected to GPIO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1043638
    • 提供者:abdelrahman
  1. Frequency-Meter

    0下载:
  2. Verilog Module for 7-Segment-Display Decoder for Common-Anode LED
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:240684
    • 提供者:Raz
  1. huxi

    0下载:
  2. verilog实现4路LED呼吸灯效果 ,从安全熄灭渐亮到完全亮起-LED
  3. 所属分类:Other systems

    • 发布日期:2017-05-13
    • 文件大小:2925888
    • 提供者:黄飞
  1. lab1_flash_led.xpr

    0下载:
  2. Verilog语言编写led流水灯,vivado环境编写-led water lights written by verilog
  3. 所属分类:assembly language

    • 发布日期:2017-12-13
    • 文件大小:83611648
    • 提供者:Mia
  1. seg7_verilog

    0下载:
  2. 七段式LED数码管驱动,Verilog源码,FPGA开发学习。硬件描述语言基础学习。-LED driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:3422732
    • 提供者:曹振吉
  1. 6_key_test

    0下载:
  2. 用Verilog实现的按键控制LED灯,按下按键,对应的灯亮,再按一下灯灭。-Use Verilog to achieve the key to control the LED lights, press the button, the corresponding light, and then click the light off.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:3130273
    • 提供者:ye
  1. led_display

    0下载:
  2. 用硬件描述语言verilog hdl来描述led等的显示。-led display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-17
    • 文件大小:1024
    • 提供者:hdwahfi
« 1 2 ... 12 13 14 15 16 1718 »
搜珍网 www.dssz.com