CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - LED verilog

搜索资源列表

  1. SELLER

    1下载:
  2. 基于verilog HDL的自动售货机控制电路设计: 可以对5种不同种类的货物进行自动售货,价格分别为A=1.00,B=1.50,C=1.80,D=3.10,E=5.00 。售货机可以接受1元,5角,1角三种硬币(即有三种输入信号IY,IWJ,IYJ),并且在一个3位7段LED(二位代表元,一位代表角)显示以投入的总钱数,最大9.90元,如果大于该数值,新投入的硬币会退出,选择货物的输入信号Ia,Ib,Ic,Id,Ie和一个放弃信号In,输出指示信号为 Sa, Sb ,Sc ,Sd, Se
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1776
    • 提供者:chenyi
  1. 7led

    0下载:
  2. dp_xiliux 的 CPLD Verilog设计实验,7个LED演示.代码测试通过.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:91402
    • 提供者:pp
  1. 3_4×4

    0下载:
  2. verilog 键盘输入程序,用于led灯的显示
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:627868
    • 提供者:tang
  1. 8bitencoder

    0下载:
  2. 这是一个verilog源码的优先编码器,可以通过led显示结果。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:118496
    • 提供者:王强
  1. 数字频率计实验报告

    0下载:
  2. 课程设计要求设计并用FPGA实现一个数字频率计,具体设计要求如下: 测量频率范围: 10Hz~100KHz 精度: ΔF / F ≤ ±2 % 系统外部时钟: 1024Hz 测量波形: 方波 Vp-p = 3~5 V 硬件设备:Altera Flex10K10 五位数码管 LED发光二极管 编程语言:Verilog HDL / VHDL-curriculum design and FPGA design to achieve a digital frequency meter,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:144900
    • 提供者:
  1. RTC

    0下载:
  2. 用Verilog HDL控制DS1302实现时钟功能,并显示在LED上-DS1302 with Verilog HDL control the clock function, and displayed on the LED on the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:197421
    • 提供者:xiong
  1. digital_7

    0下载:
  2. Verilog七段数码管显示控制程序,已经在实验板上测试通过。-Verilog seven-segment LED display control program, the board has been tested in the experiment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:445232
    • 提供者:吴平
  1. EPM240Prj.rar

    0下载:
  2. 这是一个verilog HDL 语言的例子,在CPLD器件EPM240上实现了 RS232协议、按键处理、LED数码管显示和每秒加1数码显示。使用quartus ii 7.0 以上打开.,This is an example of verilog HDL language in the CPLD device EPM240 achieved RS232 agreement, deal button, LED digital tube display and digital display plu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:521035
    • 提供者:白蚁
  1. shumaguan.rar

    0下载:
  2. 七段数码管显示程序,用Verilog语言编写,程序运行完全没有问题。,Seven-Segment LED display program, with the Verilog language, the program is running is no problem.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:415523
    • 提供者:韩瑞
  1. EPM240_Uart

    0下载:
  2. 基于Quartus II的Verilog编写的Uart串口测试程序。数据收发机LED灯测试。-Based on the Verilog Quartus II prepared Uart serial port test program. LED lamp test data transceiver.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:257623
    • 提供者:
  1. led_rotary

    1下载:
  2. Spartan-3E实验板,基于Verilog实现旋转按钮控制八个LED灯移动方向。- a program by verilog that can control the leds in the spartan-3e lights direction by the rotary button on it.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:298908
    • 提供者:陈海凯
  1. AD[TLC549]

    1下载:
  2. 进阶实验之AD[TLC549] 采集模拟输入,电压动态显示在数码管,由verilog编写-Advanced experiments AD [TLC549] capture analog input voltage is dynamically displayed on the LED, written by the verilog
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-26
    • 文件大小:200739
    • 提供者:林爻
  1. seg7led

    0下载:
  2. 一个verilog写的控制LED的FPGA的代码。-Write a Verilog control LED of the FPGA code.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-26
    • 文件大小:125699
    • 提供者:sdffer343
  1. paomadeng

    0下载:
  2. 跑马灯LED程序,实现流水灯显示,下载即可使用-verilog sourse
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:146077
    • 提供者:miaoya
  1. KeyLed

    0下载:
  2. eo2c5 实现 led 键盘控制 verilog 编程-keyboard led control to achieve eo2c5 programming verilog
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:316795
    • 提供者:lizhuodong
  1. 1

    0下载:
  2. *先后调试了LED,按键,数码管的verilog程序,并在实验板上面调试成功!学习FPGA是一个漫长的过程,但是我必须得坚持!前途光明,道路崎岖! 这次在垃圾堆(我工作台下面的抽屉和柜子,呵呵)里面搜索了一个以前用C8051F020作的一个单片机 最小系统,其中有一个PS2的数字小键盘,于是马上鼓捣了一下,复习了一下PS2传输数据的规则,其实还是比较简单的,所以很快就把程序搞定,并在电路板上运行正常!!! -* Has a debug LED, button, digital contr
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:5050
    • 提供者:nyw
  1. 32X32LED

    1下载:
  2. 基于verilog语言编写的32X32LED点阵的字符显示程序-use the verilog to test the 32X32 led
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-12
    • 文件大小:332977
    • 提供者:阮开明
  1. calculator

    0下载:
  2. 课设一个,又臭又长,是一个用verilog编写的计算器,对应革新科技的某个sopc开发平台,键盘会扫描,七段二极管会译码且是并行输出,上传的是整个工程,在该开发平台上基本正常,主程序段编写的较为幼稚,希望大家多多扔玉。注:主程序段预计做八位计算器,后来因为实验平台只有六个数码管无奈之下后两位没接,主程序中的ac有问题,在开发平台上没效果,压缩包里的图是主程序在quartus下的仿真图,开发环境是quartus,不知应选哪项。最后:初次上传欢迎指正 -Set up a class, but als
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10809099
    • 提供者:raven
  1. led7

    0下载:
  2. 一个用Verilog语言实现的七段数码管显示。包含工程文件和实现文档。-One with the Verilog language implementation of the seven-segment LED display. And the achievement of the document contains the project file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:75012
    • 提供者:文闯
  1. NIOS_DEVICE_LED_demo

    0下载:
  2. 在nios系统开发中的驱动led显示的代码,包括verilog代码,与相应的驱动代码-In the nios system development in the driver led display code, including the verilog code, and the corresponding driver code
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-17
    • 文件大小:4806167
    • 提供者:chd
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 18 »
搜珍网 www.dssz.com