CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - NIOS ALTERA

搜索资源列表

  1. hello_world_small

    0下载:
  2. 采用altera mac核加88e111物理层芯片的千兆网方案,该文件是配置mac层和物理层的nios文件,基于hello world small工程。-88e111 by altera mac core and Gigabit Ethernet physical layer chip of the program, the file is configured mac layer and physical layer nios file, based on hello world small
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:870
    • 提供者:pupu
  1. Profiling_Nios_II_Systems

    0下载:
  2. Altera公司原版设计手册,nios ii ide profiling模式使用。-This application note describes a variety of ways to measure the performance of a Nios® II system with three tools: the GNU profiler, called nios2-elf-gprof, the timestamp interval timer component,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:269004
    • 提供者:Han Yunbo
  1. Nios_Embedded_Processor

    0下载:
  2. Altera公司原版设计手册,关于嵌入式nios ii 处理器-This manual provides comprehensive information about the Altera® Nios® 32-bit CPU. The terms Nios processor or Nios embedded processor are used when referring to the Altera soft core microprocessor in a
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1091766
    • 提供者:Han Yunbo
  1. jtag-ceshi

    0下载:
  2. Altera jtag nios测试源代码值得一看,欢迎大家下载-Altera jtag nios test source code worth a visit, welcome you to download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7244834
    • 提供者:LJ
  1. demo32_32bit

    0下载:
  2. 基于ALTERA的NIOS系统的车载显示系统-ALTERA NIOS system based on the vehicle display system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:712905
    • 提供者:cash
  1. nios_dds

    0下载:
  2. 采用Altera的NIOS内核,配合独立的累加器,实现了正弦波,三角波,锯齿波和方波的DDS产生电路,系统时钟最高可达120MHz,配合高速DAC,可产生最高约40MHz左右的波形-Using Altera' s NIOS core, with a separate accumulator, to achieve a sine wave, triangle wave, sawtooth and square wave generation circuit DDS system clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3113548
    • 提供者:Tomy Lee
  1. CORDIC_FPGA

    0下载:
  2. 摘要:本文在传统CORDIC算法的基础之上,通过增加迭代次数,对参数进行了优化筛选, 提高了运算精度,使设计出的软核能够在精度要求较高的场合中运行,如实时语音、图 像信号处理、滤波技术等。输出数据经过IEEE-754标准化处理,能够直接兼容大多数处 理器,扩展了其应用范围。最终在Altera公司NiosⅡ处理器中通过增加自定义指令的方 式完成了硬件实现。 关键字:CORDIC ,自定义指令, IEEE-754标准化处理。-Abstract: In this paper, ba
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:228713
    • 提供者:daisywmc
  1. BmpDecoder

    0下载:
  2. 适用于Altera FPGA Nios II平台uClinux OpenCV之BmpDecoder的源码-Souce code of BmpDecoder for Altera FPGA Nios II uClinux OpenCV
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1993
    • 提供者:岳弘达
  1. music

    0下载:
  2. 以vhdl 語言利用nios編寫的音樂控制範例.altera de2板實測可用-Vhdl language used to write the music control nios sample. Altera de2 board can be measured
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1011816
    • 提供者:fdfg
  1. hello_world_multi

    0下载:
  2. altera NiosII multicores hello_world_multi.c-altera nios ii
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1889
    • 提供者:黃聖泓
  1. seg_7

    0下载:
  2. Altera DE系列开发板都可以参考的基于Nios ii 的数码管控制显示0-f程序-display 0-f with 7-segment display on Altera DE series board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:122546
    • 提供者:thomas yang
  1. downstream_pipeline

    0下载:
  2. Altera NIOS II 软核的downstreampipeline-downstreampipeline in NIOS II
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-05
    • 文件大小:1050
    • 提供者:zy
  1. CFI_FLASH

    0下载:
  2. Altera NIOS IICFI 驱动-cfi driver in NIOS II
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:5441
    • 提供者:zy
  1. vehicle-mounted-display-system

    0下载:
  2. 倒车影像系统FPGA设计,基于ALTERA的NIOS系统的车载显示系统(车载摄像头和TFT显示器)设计源代码,集成仿真环境QUARTUS II7.0及NIOS 7.0,高等级版本可兼容-Reversing video system FPGA design, based on ALTERA NIOS system of vehicle display system (Car Camera and TFT displays) design source code, integrated simula
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:768721
    • 提供者:杨平平
  1. usb

    0下载:
  2. altera FPGA NIOS架构,实现USB的读写操作-altera FPGA NIOS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7986965
    • 提供者:xuguo
  1. mc8051_cyclone_nios

    0下载:
  2. mc8051 v1.4 oregano VHDL core for the Altera Cyclone Nios evaluation board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:2001100
    • 提供者:mapppler
  1. Introduction_to_the_Altera_SOPC_Builder

    0下载:
  2. This file contains basics information how to use Altera and NIOS II processor
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-12
    • 文件大小:2723292
    • 提供者:Mohamed Ibrahim
  1. DE2StandardCore

    0下载:
  2. ALTERA DE2 开发板的最小NIOS系统-ALTERA DE2 BOARD MINI NIOS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:12009144
    • 提供者:iFong
  1. DE2_115_SD_CARD

    0下载:
  2. altera 官方开发板DE2的SD卡源码,里面有详细的nios平台下的FAT32文件格式,并可以对SD操作-source of altera official development board DE2 for SD card , there are detailed FAT32 file format and read-write to SD on the nios platform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:5066752
    • 提供者:王雨曦
  1. SOPC_LED

    0下载:
  2. 基于Altera公司的Nios软核的LED程序。简单的SOPC例程。-SOPC(System on a Programmbale Chip) application based on the Nios Core which produced by Altera Cor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:14110151
    • 提供者:GaryLee
« 1 2 3 4 5 6 7 89 10 11 12 »
搜珍网 www.dssz.com