CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - PN code

搜索资源列表

  1. buhuo2

    1下载:
  2. cdma 码分多址系统的pn码同步获取程序,利用滑动相关峰值的最大值来获取同步-cdma CDMA system pn code synchronization acquisition procedures, the use of sliding correlation peak to obtain the maximum synchronization
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-23
    • 文件大小:2592
    • 提供者:mhx
  1. TF

    1下载:
  2. 伪随机码生成代码,包括m序列,gold序列,kasami序列,以及寻找m序列优选对和计算自相关和互相关的功能。-PN Code Generator, including m, gold and kasami.
  3. 所属分类:3G develop

    • 发布日期:2017-03-28
    • 文件大小:157270
    • 提供者:Tong Da
  1. pn_gen_vhd_211

    0下载:
  2. 通信中常用的PN序列产生器的源代码全部打包-Communications commonly used in PN sequence generator, the source code of all packaged
  3. 所属分类:matlab

    • 发布日期:2017-04-26
    • 文件大小:129726
    • 提供者:jinyong
  1. Simulation-and-FPGA-Implementation-of-DigitalDBPSK

    0下载:
  2. 文章介绍了系统的硬件电路原理与具体实现方法,其中主要包括载波恢 复电路,PN 码捕获电路和跟踪电路,并针对Xilinx 公司FPGA 的特点,对各电 路的实现进行优化设计,在不影响系统稳定性和精度的前提下,减少硬件资源 消耗,提高硬件利用率。设计利用Verilog 硬件描述语言完成,通过后仿真验证 电路正确性,并给出综合结果。-This paper introduces the system' s hardware circuit principle and the spe
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1008048
    • 提供者:mayuan
  1. 3pn

    1下载:
  2. 3种pn序列的产生代码,包括:m序列,gold序列,混沌序列。-Three kinds of pn sequences generated code, including: m sequence, gold sequences, chaotic sequences.
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1397
    • 提供者:weiwei
  1. pn

    0下载:
  2. It s a code to generate the PN Sequence upto order 21 so its nice
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-01
    • 文件大小:780
    • 提供者:nikx
  1. cdma

    0下载:
  2. Its the project report for CDMA PN code acquisition using Neural Networks.
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:46354
    • 提供者:shifka
  1. pn_new

    0下载:
  2. PN code sequence generation
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:942
    • 提供者:raja
  1. PN

    0下载:
  2. 扩频码序列的改进与实现 希望能对大家有用-Spreading code sequences to improve and hope that it would be useful to achieve
  3. 所属分类:Communication

    • 发布日期:2017-04-05
    • 文件大小:108042
    • 提供者:杨帆
  1. simulink

    0下载:
  2. 基于SIMULINK的PN码同步系统仿真研究-Based on the PN code synchronization system SIMULINK Simulation
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:726757
    • 提供者:fangjingjing
  1. PN_sequence_generation

    0下载:
  2. 直接序列扩频通信系统建模与仿真 PN码序列产生代码(matlab)-PN code sequence generated code (matlab)
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:643
    • 提供者:viewstar
  1. 71477181pn.m

    0下载:
  2. 产生扩频所需的pn码, pn码在通信系统中占据了重要的位置,在即将到来的3G通信中比较重要 -Pn code needed to generate spread spectrum
  3. 所属分类:matlab

    • 发布日期:2017-04-27
    • 文件大小:72055
    • 提供者:zhongtao
  1. chengxu

    0下载:
  2. 建立了多径直扩信号模型,实现多径环境下直扩信号PN码的捕获-The multipath DS-SS signal model is built, and achieve the PN code acquisition under multipath.
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:199636
    • 提供者:高永升
  1. PN4

    0下载:
  2. 语言:VHDL 功能:该PN4序列的特点为将一个4位序列的前两位取异或,再让序列左移一位,用异或的结果作为序列的最后一位。序列周期是15,即15位伪随机序列。其中包括序列的产生模块和检测模块。对于误码检测,首先捕获相位。然后,规定测试的码的总个数,统计这些码中有多少个不能满足PN序列特点的,用计数器统计个数。如果发现误码过多,可能是相位失调,重新捕获相位,再进行误码检测。 仿真工具:modelsim 综合工具:quartus -Language: VHDL function:
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:4429
    • 提供者:huangjiaju
  1. Performance_Analysis_of_PN_Code_Acquisition

    0下载:
  2. 文章中主要阐述了PN码的捕获,并对以前的各种捕获方法进行了改进。得出了基于FFT的快速捕获算法!-Abstract-A FFT-based parallel acquisition algorithm for Direct Sequence/Spread Spectrum radio navigation system is studied to solve long PN code acquisition using serial method. Frequency domain
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:553918
    • 提供者:严鹏涛
  1. dsss

    0下载:
  2. 直扩信号BPSK的仿真,采用异或移位的算法实现PN码达到扩频的目的-BPSK DS signal simulation, using different algorithms, or shift the PN code to achieve the purpose of spreading
  3. 所属分类:matlab

    • 发布日期:2017-04-01
    • 文件大小:624
    • 提供者:关月
  1. PN-code

    0下载:
  2. psuedo noise code in matlap-psuedo noise code in matlap
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:6112
    • 提供者:hamada
  1. PN-code-accurate-location-method

    0下载:
  2. 基于最小二乘法实现精确到采样点间的准确定时,性能远远高于双程测距方法实现的测距与定时。仿真表明此方法对于抗噪声和频偏具有很好的作用。代码联系我:wo.lmy@163.com-Based on least square method to achieve precise sampling points of accurate timing between, performance is much higher than the round trip distance method and timi
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:110830
    • 提供者:lmy
  1. PN_sequence_code_generation

    0下载:
  2. Generation of PN sequence code
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:673
    • 提供者:Sabuj
  1. PN

    0下载:
  2. 非周期直接序列扩频信号PN码盲估计,利用了子空间跟踪算法。-Aperiodic direct sequence spread spectrum signal blind estimation of PN code using a subspace tracking algorithm.
  3. 所属分类:matlab

    • 发布日期:2017-11-10
    • 文件大小:631797
    • 提供者:尹落尘
« 1 23 4 5 6 7 8 9 »
搜珍网 www.dssz.com