CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - PWM直流电机控制

搜索资源列表

  1. 小功率直流电机的测速和控制

    0下载:
  2. 本设计采用两片MCU(AT89S52),完成了小型直流电机转速的采集、计算、显示、键盘设定,并将非均匀采样情况下的增量式积分分离PID控制算法应用于直流电机的PWM调速,实现了对电机转速的测量和控制,解决了通常低采样周期时系统的超调以及PID算法的积分饱和问题。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-04-16
    • 文件大小:465920
    • 提供者:qq270902745
  1. MOTOR.rar

    0下载:
  2. msp430控制直流电机,L298驱动,具有正反转,pwm调速功能。,msp430 control of DC motor, L298-driven, with positive inversion, pwm speed control function.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:21242
    • 提供者:秦兴
  1. PWM.rar

    0下载:
  2. TMS320LF2407A中实现PWM控制,从而控制直流电机的转向和转速,TMS320LF2407A to achieve PWM control of DC motors to control steering and speed
  3. 所属分类:DSP program

    • 发布日期:2017-04-08
    • 文件大小:61478
    • 提供者:Congrashino
  1. 8051

    0下载:
  2. 8051实现直流电机PMW调速系统 本文所述的直流电机闭环调速系统是以低价位的单片微机8051为核心的,而通过单片机来实现电机调整又有多种途径,相对于其他用硬件或者硬件与软件相结合的方法实现对电机进行调整,采用PWM软件方法来实现的调速过程具有更大的灵活性和更低的成本,它能够充分发挥单片机的效能,对于简易速度控制系统的实现提供了一种有效的途径。而在软件方面,采用PLD算法来确定闭环控制的补偿量也是由数字电路组成的直流电机闭环调速系统所不能及的。曾经也试过用单片机直接产生PWM波形,但其最终效
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:1299
    • 提供者:陈与
  1. dcmotor_ev

    0下载:
  2. DSP-EV-PWM控制直流电机程序,用C语言编写,运行环境是CCS3.3-DSP-EV-PWM CONTROL DC-MOTOR
  3. 所属分类:DSP program

    • 发布日期:2017-04-04
    • 文件大小:237738
    • 提供者:z
  1. 2812_model

    0下载:
  2. 用dsp产生六路pwm波来控制永磁无刷直流电机的转动和换向。-Using DSP control PWM waves generated six brushless dc motor rotation and reversing.
  3. 所属分类:DSP program

    • 发布日期:2017-04-01
    • 文件大小:294491
    • 提供者:尹泉
  1. DC_Motor

    1下载:
  2. 51单片机控制直流电机的程序和电路,使用ADC0831采集电位器的电压,对电机进行调速。压缩包内含汇编代码、Proteus仿真文件和Keil工程。-51 single-chip DC motor control circuit of the procedures and the use of acquisition ADC0831 voltage potentiometer on the motor speed. Package containing the compilation of cod
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:113913
    • 提供者:jingxiaosong
  1. moter

    1下载:
  2. VHDL写的PWM发生器,仿真通过,波形基本完美,可以用于直流电机的控制-PWM generator written in VHDL, simulation is passed, the basic waveform perfect, can be used for DC motor control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:897211
    • 提供者:dansen
  1. dcmotor

    0下载:
  2. DSP 产生PWM波控制直流电机,步进电机-DSP generated wave PWM control of DC motor, stepper motor
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:3662
    • 提供者:wangjie
  1. pwm

    0下载:
  2. 直流电机PWM调速的单片机控制系统
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:1463
    • 提供者:XinChao
  1. dc-motor

    0下载:
  2. PWM 控制 直流电机调速程序 利用定时器控制产生占空比可变的 PWM 波 按K1,PWM值增加,则占空比减小,电机速度变慢。 按K2,PWM值减小,则占空比增加,电机速度加快。-PWM DC Motor Speed Control using Timer control procedures have variable duty cycle PWM wave by K1, PWM values increase, the duty cycle decreases, the
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-25
    • 文件大小:33268
    • 提供者:kamus
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. PWM

    0下载:
  2. 基于电机控制芯片L298N,用单片机控制直流电机的转向和转速-Based on the motor control chip L298N, with single-chip control of DC motor steering and speed
  3. 所属分类:CSharp

    • 发布日期:2017-04-12
    • 文件大小:1028
    • 提供者:韩立东
  1. EP1C3_12_1_2_MOTO

    0下载:
  2. 基于FPGA的直流电机的PWM控制和步进电机的细分驱动控制。使用VHDL语言编写,压缩包里是Quartus下的工程。-FPGA-based PWM DC motor control and stepper motor-driven control of a breakdown. The use of VHDL language, compression bag is under the Quartus project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1193917
    • 提供者:deadtomb
  1. motor

    0下载:
  2. 用298n驱动两个直流电机,具有正反转、PWM加减速控制-298n drive with two DC motors with a positive, PWM speed control
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:12134
    • 提供者:姚智龙
  1. zhiliudianjitiaosu

    0下载:
  2. 51单片机控制直流电机的启停,正传,发转,调节pwm占空比控制电机转速。-51 MCU controlled DC motor start and stop, True Story, hair switch, regulating the duty cycle pwm control motor speed.
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1131
    • 提供者:geyunheng
  1. zhiliudianji

    0下载:
  2. 用单片机控制的直流电机驱动程序,可以实现PWM调速-With MCU-controlled DC motor driver, PWM speed control can be achieved
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:5055
    • 提供者:李茗
  1. adm325

    0下载:
  2. 控制小车用pwm方式来控制小车的转速,小车的步进电机需要用直流电机控制,因为单片机产生的电流小-Control pwm to control the car with the car' s speed, the car of the stepper motor DC motor control needed because the current generated by small SCM
  3. 所属分类:Document

    • 发布日期:2017-04-02
    • 文件大小:40318
    • 提供者:yanfeng
  1. PWM_motor_debug_pra

    0下载:
  2. /* 程序名:PWM直流电机调速 */ /* 晶振:12.0 M CPU型号:AT89S52 */ /* 功能描述: 将J12的2,3脚和J8的7,8脚(均自上而下数)分别相连! */ /* 直流电机的pwm波控制,可以直接的调速从0到20级的调速 */ -/* Program name: PWM DC Motor Speed Control*//* Crystal: 12.0 M CPU Type: AT89S52*//* Function Descr iption: 2,3
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:4598
    • 提供者:韩军
  1. DCMOTERCONTROL

    0下载:
  2. 5V直流电机调速控制 实现三档调速 正反转 H桥驱动 模拟PWM波控制 -5V DC motor speed control to achieve third gear speed reversing H bridge driver controlled analog PWM wave
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-03
    • 文件大小:529
    • 提供者:cuianwei
« 1 2 34 5 6 7 8 9 10 ... 22 »
搜珍网 www.dssz.com