CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Parallel port

搜索资源列表

  1. 8255ASMPROCCODE

    0下载:
  2. 8255并行通讯(自发自收),设置8253计数器0工作方式,给8253计数器0送初值,向8251控制端口送3个0,向8251送控制字允许其发送和接收-8255 parallel communication (spontaneous self-closing), set 8253 counter 0 work, to the 8253 counter 0 to send the initial value to the 8251 control port to send 3 0 control w
  3. 所属分类:assembly language

    • 发布日期:2017-12-01
    • 文件大小:10017
    • 提供者:jjccbb
  1. lcd12864

    0下载:
  2. 液晶是单片机系统最常用的显示设备之一,这个程序库是在MSP430F169、MSP1430F149单片机上测试通过的,可以放心使用;液晶选用的是金鹏的:OCMJ4X8C型号的12864液晶;控制液晶用的是并行方式,三个控制口是P3.0、P3.1、P3.2三个IO口,数据用的是P5数据IO口。 -The LCD is one of the the microcontroller system most commonly used display device, the library passe
  3. 所属分类:SCM

    • 发布日期:2017-11-26
    • 文件大小:6781
    • 提供者:wangpeng
  1. ZQD

    1下载:
  2. 与单波束测深系统相比,多波束测深具有全覆盖、高精度、高密度和高效率的特点[1]。近年来多波束测深系统在国内不断普及,多波束测深系统被越来越多的应用于光缆路由勘测、港口河道测量、疏浚工程测量、水下物体搜寻等海洋工程测量。 多波束测深数据平行测线准确度检验。-The multibeam bathymetric has a full-coverage, high-precision, high density and high efficiency characteristics, compare
  3. 所属分类:CSharp

    • 发布日期:2017-11-23
    • 文件大小:40552
    • 提供者:ms
  1. MCU

    2下载:
  2. 实验二 累加和串并行显示实验 一.实验目的:学习80C196KC单片机I/O和T2定时应用。 二.实验内容:将4000H首地址的16单元的内容累加,取结果的低4位在P1口并行显示5秒钟,“1”灯亮,“0”灯暗;然后再在P1.0串行输出结果先输出4位高位,后输出4位低位。“1”灯亮,“0”灯暗,每位持续时间两秒。 三.实验预备知识:采用T2内部定时功能。相关中断屏蔽,IOC控制寄存器,中断允许,中断向量,中断程序格式。(80c196kc,晶振频率6Mhz) 四.实验步骤:P
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-10-23
    • 文件大小:869
    • 提供者:fy
  1. keyboard-and-display

    0下载:
  2. 8051单片机的P1口作为8个按键的输入端,构成独立式键盘。四个LED显示器通过四个串/并移位寄存器74LS164接口至8051的串行口,该串行口应工作在方式0发送状态下,RXD端送出要显示的段码数据,TXD则作为发送时钟来对显示数据进行移位操作。-8051 P1 port as a the eight key input end, constitute a stand-alone keyboard. Four LED displays through four serial/parallel
  3. 所属分类:SCM

    • 发布日期:2017-11-07
    • 文件大小:916
    • 提供者:尚贤丹
  1. 74HC595

    0下载:
  2. 在做一个项目应用时,使用的I/O较多,应用到了74595来做扩展,但是若要挂接多片74595,势必也要多用到I/O口,为了解决这个问题,我将每2片74595做级联,然后再将级联之后的所有时钟线与数据再并联在一起(即所有的74595共用时钟与数据线,故只占用2个I/O口线),最后对每一组的锁存控制线做单独控制(在这里也相当于片选了),这样一来硬件电路得到了很大程度的简化,同时在程序上也可以共用一个74595的驱动子程序,控制不同的锁存控制线,串行数据也就送到了不同74595级联模组端口。故此对硬件
  3. 所属分类:SCM

    • 发布日期:2017-11-18
    • 文件大小:83915
    • 提供者:cxs
  1. jiaotongdeng

    1下载:
  2. 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的就是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位
  3. 所属分类:Project Design

    • 发布日期:2015-05-18
    • 文件大小:274432
    • 提供者:唐远航
  1. STEPPER-MOTOR

    0下载:
  2. 通过51单片机串行转并行,扩展IO口,实现四个步进电动机的控制。-By 51 microcontroller serial to parallel IO expansion port, to achieve four stepper motor control.
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:63649
    • 提供者:周攀峰
  1. ad9854

    0下载:
  2. ad9854 的并行程序 ,使用的为a c口-ad9854 parallel programs, the use of the port for the ac
  3. 所属分类:SCM

    • 发布日期:2017-05-12
    • 文件大小:3028198
    • 提供者:shh
  1. socket_communication

    0下载:
  2. TCP套接字编程实现: 开发一个多线程服务器,它能并行服务于多个请求。 提示: 用Java或c/c++语言开发; 通过调用操作系统API接口创建线程; 假定3个客户端,客户端i发送“Hi,God”,服务器回答“What can I do for you, my son i”,客户端收到后显示服务器回答。 分别用TCP和UDP两个协议来测试,端口号自定义 -TCP socket programming: Develop a multi-threaded server,
  3. 所属分类:Java Develop

    • 发布日期:2017-04-14
    • 文件大小:2958
    • 提供者:yelangya
  1. TaskParallelismWithPorts

    0下载:
  2. 基于VTK实现任务并行,并使用端口实现。与直接实现任务并行不同,在人物之间进行联系时要使用端口对象。-To achieve mission based on the VTK parallel, and use the port. Realize parallel with the direct mission different links between the characters to use when the target port.
  3. 所属分类:Graph program

    • 发布日期:2017-04-09
    • 文件大小:4926
    • 提供者:cerpri
  1. TaskParallelismWithPorts

    0下载:
  2. 基于VTK实现任务并行,并使用端口实现。与直接实现任务并行不同,在人物之间进行联系时要使用端口对象。-To achieve mission based on the VTK parallel, and use the port. Realize parallel with the direct mission different links between the characters to use when the target port.
  3. 所属分类:Graph program

    • 发布日期:2017-04-14
    • 文件大小:4972
    • 提供者:gepoin
  1. 5V_Relay_drive_circuit

    0下载:
  2. D3为继电器工作指示灯,说明5V电压处于正常状态。 D2为继电器吸合指示灯,说明继电器处于吸合状态(继电器线圈两端有电压)。 C1为继电器并联的电容,继电器释放后线圈两端电压往下滑,可防止吸合频率过高影响寿命。 D1为继电器反向并联的二极管,可防止继电器释放后,产生很高的电压峰值,击穿对静电敏感的器件。 R3,R1为继电器的工作、吸合指示灯,可防止电压高击穿电源指示灯。 Q1为PNP三极管,用于对单片机的I/O口的电流进行放大。 R2为三极管基极串联的电阻,防止输入
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:55565
    • 提供者:1
  1. MCS-51

    0下载:
  2. 武汉理工大学的MCS-51单片机实验指导书,包括实验有:实验系统基本操作、汇编语言程序设计简单应用、并行 I/O 接口使用、并行 I/O口扩展实验和定时/计算器 8253A 应用。-MCS-51 microcontroller guide books Wuhan University of Technology, including experiments are: experimental system basic operation, assembly language programmin
  3. 所属分类:software engineering

    • 发布日期:2017-05-02
    • 文件大小:610581
    • 提供者:
  1. MCS-51-hardware-structure

    0下载:
  2. 概述 微处理器 存储器 定时器/计数器 并行I/O口 串行I/O接口 中断系统 特殊工作方式 -Overview microprocessor memory timer/counter parallel I/O port serial I/O interface interrupt system of special work
  3. 所属分类:Communication

    • 发布日期:2017-05-04
    • 文件大小:1520136
    • 提供者:邓小帅
  1. seriel_74LS164

    0下载:
  2. 单片机串口驱动74LS164的C语言程序,串并口转换的通讯接口程序-74LS164-driven single-chip serial port of the C language procedures convert string parallel communications interface program
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-11
    • 文件大小:654
    • 提供者:straig
  1. run-LED

    0下载:
  2. 旋转LED平面字体测试程序 用单片机的串行口发送数据给3个595 并行驱动LED 采用无线供电方式以及性能较好的RF370电机,在5V供电下 显示的效果非常好.电机速度也够快 够安静. 只为简单的理解显示的原理,利用人眼视觉暂存,用电机旋转做列扫描, 每送一列数据延时 再送下一列数据,原理比较简单,跟点阵显示差不多。-Rotating LED flat font test program with single-chip microcomputer serial port to s
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:43035
    • 提供者:刚哥
  1. bxIOk

    0下载:
  2. 利用单片机并行I/O口控制流水灯并能同时控制蜂鸣器。-Using single chip microcomputer parallel I/O port can control light and water at the same time control buzzer.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-03-29
    • 文件大小:27787
    • 提供者:佩佩
  1. lcd12864

    1下载:
  2. 液晶是单片机系统最常用的显示设备之一,这个程序库是在MSP430单片机上测试通过的,可以放心使用;液晶选用的是金鹏12864液晶;控制液晶用的是并行方式,三个控制口是P3.0、P3.1、P3.2三个IO口,数据用的是P5数据IO口。-LCD is one of the most commonly used SCM system display device, the library is on the MSP430 microcontroller test, you can be assured
  3. 所属分类:SCM

    • 发布日期:2015-03-04
    • 文件大小:7168
    • 提供者:lee
  1. uart_rx_module24

    0下载:
  2. UART serial interface communication based on FPGA, this modular by receiving PC serial port data (8), converted into parallel 24 data output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13852131
    • 提供者:常云鹏
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com