CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - QuartusII

搜索资源列表

  1. QuartusIIjianming

    0下载:
  2. QuartusII中文简明使用手册,对于搞硬件开发的初学者很有用。-QuartusII Chinese condensed manual, engage in hardware development is useful for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3396162
    • 提供者:贾军雨
  1. moore

    0下载:
  2. moore状态机,quartusii上仿真通过-moore state machine
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2987536
    • 提供者:猪妖
  1. CA-code

    0下载:
  2. 生成CA码verilog代码,quartusII开放环境,含源代码和仿真文件(波形、testbench)-CA generated code verilog code, quartusII open environment, including source code and simulation files (Waveform, testbench)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:3578
    • 提供者:赵睿铭
  1. traffic-light

    0下载:
  2. 红绿灯设计,用vhdl语言,quartusII编写,包含全部代码-Traffic light design, vhdl language, quartusII preparation that contains all the code
  3. 所属分类:software engineering

    • 发布日期:2017-04-27
    • 文件大小:123722
    • 提供者:aa
  1. tanchishe-QuartusII

    1下载:
  2. VGA显示FPGA实现的VHDL语言的贪吃蛇游戏设计 本设计分为6个模块主要是扫描模块 VGA现实和控制模块 游戏设计的模块 电源模块等 用QUARTUS2仿真运行-VGA display FPGA VHDL language to realize the Snake game design The design is divided into six modules mainly scanning module VGA module power module and contro
  3. 所属分类:Shot Game

    • 发布日期:2017-05-10
    • 文件大小:2499220
    • 提供者:懒猫
  1. Multi-function-digital-clock

    0下载:
  2. QuartusII开发的EDA 采用两个双十进制计数器74390 以及其他部件 组成了具有暂停 清零 调时针 调分针 12 24进制转换 整点报时等功能的多功能数字钟-QuartusII EDA developed using two pairs of decimal counter 74390 as well as other components of tune with the suspension cleared tone hour minute 1224 hex conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:5046
    • 提供者:pan
  1. code

    0下载:
  2. 设计RS、JK、D、T 四种触发器,掌握异步复位置位的方法以及四种触发功能的实现方 法,掌握QuartusII 软件的使用方法以及GW48 型SOPC 开发平台中的输入输出模式配置方 法。 -Design RS, JK, D, T four kinds of triggers, grasp complex bit asynchronous methods and how to configure four trigger implementation function Quartu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:742
    • 提供者:张双图
  1. 四位计算器

    0下载:
  2. 实现四位数的加减运算,基于verilog语言编写,quartusII编译通过
  3. 所属分类:LabView编程

    • 发布日期:2014-07-03
    • 文件大小:88576
    • 提供者:owenxlq@163.com
  1. My_First_Fpga

    0下载:
  2. 如何在FPGA开发板上新建一个新的工程,以及如何设置QUARTUSII,教你一步一步实现加法计数的功能。-How to Create the FPGA development board of a new project, and how to set QUARTUSII, teach you step by step to achieve additive counting function.
  3. 所属分类:software engineering

    • 发布日期:2017-05-12
    • 文件大小:2822035
    • 提供者:王佳
  1. LED

    0下载:
  2. QuartusII 9下的LED灯示例,很简单的例子,可以直接运行-The sample of LED of quartus II 9.0 with the language of Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1213646
    • 提供者:peanut
  1. Simple_Logic_Continue

    0下载:
  2. quartusII 9编写的74161模块,简单的例子,可以直接运行-The module 74161 with the language of verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1368821
    • 提供者:peanut
  1. eda-example

    0下载:
  2. 初学者福音,有70个基于FPGA VHDL开发历程,可以通过这些文件下载到QuartusII中,做实验-Beginners, there are 70 VHDL based on FPGA development course, can download the files to QuartusII, doing experiments
  3. 所属分类:Other systems

    • 发布日期:2017-06-02
    • 文件大小:14235506
    • 提供者:曹晓岩
  1. CRACK

    0下载:
  2. quartusII的软件的使用,对学习FPGA是有用的,希望对大家有用,希望大家喜欢。-Use quartusII software, it is useful to learn FPGA, we want to be useful, I hope you like it.
  3. 所属分类:Other systems

    • 发布日期:2017-04-27
    • 文件大小:14620
    • 提供者:陈小燕
  1. count_minut2

    0下载:
  2. verilog语言编写的电话计费器程序,编程环境为quartusii,实现了接电话、打电话、计时、分段计费、话费充值、欠费自动挂断等功能。-verilog language telephone billing program, the programming environment for quartusii, realized the phone, call, timing, staging billing, prepaid recharge, automatically hang up ar
  3. 所属分类:Other systems

    • 发布日期:2017-05-18
    • 文件大小:5111565
    • 提供者:曾阿然
  1. DPSK

    0下载:
  2. DPSK调制信号的生成,通过MATLAB生成测试数据,用quartusII软件编译并仿真-DPSK signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:405397
    • 提供者:舒占军
  1. div

    0下载:
  2. 使用quartusII软件,Verilog语言编写的一个分频器,仿真测试通过- frequency dividing circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:215957
    • 提供者:舒占军
  1. UART_LED

    0下载:
  2. 单字节uart收发程序,带led指示,verilog quartusII 12.0 -verilog quartusII 12.0 uart-led
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:588887
    • 提供者:tcr
  1. SignaltapII_use

    0下载:
  2. 简单的使用QuartusII软件中的逻辑分析仪,特别适合初学者学习-Simple to use QuartusII software logic analyzer, especially for beginners to learn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:5899151
    • 提供者:npudn99
  1. verilog-hdl(Quartus)

    0下载:
  2. 一个关于Quartusii的软件使用教程,包括Modelsim的仿真教程,比较简单-About Quartusii software tutorials, including Modelsim simulation tutorial, relatively simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8666584
    • 提供者:rainsnowfrost
  1. sram_test_OK

    2下载:
  2. 主要是基于FPGA(EP2C8Q208I8)下的SRAM驱动,SRAM型号为IS61LV25616,程序语言为Verilog,开发环境为quartusII 7.0,为一工程,可直接下载到FPGA中,含电路图-Mainly based on FPGA (EP2C8Q208I8) driving under the SRAM, SRAM model IS61LV25616, programming language for Verilog, a development environment for
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-03-16
    • 文件大小:1232896
    • 提供者:hlt
« 1 2 ... 24 25 26 27 28 2930 31 32 33 »
搜珍网 www.dssz.com